在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 SAR ADC cap unit
119543213 2023-9-20 02:34
https://bbs.eetop.cn/thread-454033-1-1.html 按照 DNL 和 INL 定义计算相应的标准方差,二进制权重时: 单端结构: sigma(DNL)max= sqrt(2^N-1)*sigma(Cu) 差分结构: sigma(DNL)max= sqrt(2^(N+1)-2)/2*sigma(Cu) 一般要求绝对偏差在 0.5LSB 以内,那么绝对偏差为 sqrt(2^11)*3 ...
个人分类: To do list|409 次阅读|0 个评论
分享 CDAC+logic
119543213 2023-7-14 14:33
四、CDAC 1.Cap in CDAC sw_sp作为dac_cell_0的开关信号,控制dac_cap_0的C0x0.5(dummy)打开/关闭 sel0作为dac_cell_0的开关信号,控制dac_cap_0的C0x0.5打开/关闭 sel1作为dac_cell_1的开关信号,控制dac_cap_1的C0x1打开/关闭 sel2作为dac_cell_3_2的开关信号,控制dac_cap_3_2的C0x2打开/关闭 sel ...
个人分类: To do list|239 次阅读|0 个评论
分享 SAR ADC Q&A
119543213 2023-6-26 16:42
1. clk_en 就是SOC ,更改相关verilog code 2. 2个analog input channel 变成6 个,包含t_sensor_dc 1. Missing code 解决思路 https://bbs.eetop.cn/thread-883967-1-1.html Q:MSB电容mismatch导致missing code如何解决? A:1) 如果前仿无MC的话,估摸着和单调开关的架构有关系了,就要看架构了 ...
个人分类: To do list|675 次阅读|0 个评论 热度 10
分享 PLL QA
119543213 2023-6-26 16:40
Q: 1.高数量的inv in ring oscillator 有什么优点? 2.VCO的为什么选择source follower 结构? 3.Ring oscillator 的duty cycle 误差大于5% 有什么问题? 技巧: 1.VCO的source follower 管选择Native mos管,可以减小Vth,可以令Vctrl有限的情况下,MOS管可以在saturation。 2.仿真VCO all corne ...
个人分类: To do list|5293 次阅读|0 个评论 热度 10
分享 To-do-list 2023/05/08 INL DNL
119543213 2023-5-8 03:00
1.Q:INL如何折算成THD? https://bbs.eetop.cn/thread-868234-2-1.html A:INL和THD的对应关系我也忘了在什么地方看到的了。 只是依稀记得,各level出现的概率,各level误差的能量,加权以后应该就是distortion的能量。 输入频率越高,相同采样时间track and hold的量就越大啊,所以我觉得可以扫描输入频率看看现 ...
个人分类: To do list|485 次阅读|0 个评论
分享 To-do-list 20230321 SAR ADC研究
119543213 2023-3-22 04:33
To-do-list 20230321 文献: http://sci-hub.ee/ https://sci.bban.top/pdf/10.1109/ISVDAT.2015.7208139.pdf?download=true https://bbs.eetop.cn/forum.php?mod=attachmentaid=ODA2ODEwfGU0ZjRmMTNifDE2Nzk0Mjc3MDV8MTMxNDUyMnw5MDg4MjM%3Dck=0b2672df https://sci.bban.top/pdf/10.1109/JSSC.2016.2582861.pdf?d ...
个人分类: To do list|563 次阅读|0 个评论

本页有 4 篇日志因作者的隐私设置或未通过审核而隐藏

12下一页
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-26 05:51 , Processed in 0.023914 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部