ljc24156313的个人空间 https://blog.eetop.cn/848177 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 时序约束分析
2013-11-22 09:12
首先介绍两个概念,即时钟源延迟(clock source delay)、时钟网络延迟(clock network delay)。下图所示表明了两个概念的含义,源延迟表示为整个设计的时钟源到该模块的时钟端口的延迟,而时钟网络延迟则是该模块的时钟端口到内部寄存器的时钟端的延迟。 个人分类: 约束|766 次阅读|0 个评论
分享 DP 和 HDMI
2013-8-2 09:57
【参见 DisplayPort崭露头角,HDMI仍将是主流 】 DP 和 HDMI 区别: DP 针对 PC 领域,代替 VGA,DVI,LVDS;HDMI 针对消费电子,代替 DVI。 DP 由VESA制订;HDMI 由 HDMI Founders 提出( HDMI Founders 是业界7个厂家组成体)。 DP 的开发团队得到 Dell,Hewlett-Packard(HP),Genes ...
个人分类: 视频接口|809 次阅读|0 个评论
分享 多路时钟选择设计
2013-8-2 09:53
好好 的采集流程,突然时序就不对了,并且烧入 .sof 和 .jic 的现象还不一样。想了想,可能是多路时钟选择的问题,自己写的代码不能做到 glitch-free clock multiplexer。 问题描述: 程序中需要转换 50MHz 和16MHz 时钟,我直接用一个信号来控制两个时钟的切换,而 这个信号是被 8MHz 时钟同步的。结果就悲剧了。 解决方 ...
个人分类: clock design|1546 次阅读|0 个评论
分享 波形文件详解
2013-8-2 09:37
波形文件一般用于仿真后记录波形文件,用于做详细分析和研究。 说一下几种波形文件WLF(Wave Log File)、VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件、shm、vpd。 WLF (Wave Log File ) Mentor Graphics 公司Modelsim支持的波形文件。 在modelsim波形窗口观察波形时 ...
个人分类: sim|11623 次阅读|0 个评论
分享 建立时间与保持时间
2013-3-19 12:44
时钟 是整个电路最重要、最特殊的信号,系统内大部分器件的动作都是在时钟的跳变沿上进行 , 这就要求时钟信号时延差要非常小 , 否则就可能造成时序逻辑状态出错;因而明确 FPGA 设计中决定系统时钟的因素,尽量较小时钟的延时对保证设计的稳定性有非常重要的意义。    ...
个人分类: 约束|1151 次阅读|1 个评论
分享 基于FPGA数字混音、采样频率变换心得
2012-5-17 15:28
基于FPGA的多路可控采样率数字混音方案 文件: 基于FPGA数字音频处理.doc.zip
个人分类: 调试总结|1220 次阅读|0 个评论
分享 i2s音频总线总结
2011-12-6 21:04
i2s总线: 1)总线构成:BCLK位时钟、LRCLK左右声道时钟、DATA数据线、MCLK系统参考基准时钟(可有可无) 2)三个时钟的关系: BCLK = fs × n × 2;   ...
个人分类: 调试总结|7050 次阅读|0 个评论
分享 sdram control
2011-10-26 11:56
指令介绍: 指令命 cs ras cas we MODESET 0 0 0 0 REFRESH 0 0 0 1 PRECHARGE 0 0 1 0 ACTIVE 0 0 1 1 WRITE &nbs ...
922 次阅读|0 个评论
分享 quartus2的sdc约束
2011-10-17 13:25
一 从输入端口到寄存器: 约束名称: input delay 约束条件: Tco (外部芯片的输出延时)、 Tpd (电路板上的延时)、 Tsu ( FPGA 的寄存器建立时间)、 Tclk (时钟延迟) 约束计算公式: input delay = Tco + Tpd + Tsu – Tclk 例如:系统时钟 100MHz ,电路板上最大延迟 2ns ...
个人分类: 约束|7509 次阅读|0 个评论
12
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 1

    评论
  • 764

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 08:26 , Processed in 0.028771 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部