testset的个人空间 https://blog.eetop.cn/37174 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 tcl
2011-2-22 14:09
! http://wiki.tcl.tk/1241 set fd7 ;# Results in stdout AND stderr being readable via fd7 文件: tcl exec reads stdout first then stderr - Stack Overflow.zip
560 次阅读|0 个评论
分享 modelsim and debussy(verdi) run together
2010-12-20 16:58
the information should read from INSTALL/doc/linking(linking_dumping).pdf the pdf said : The Novas object file for FSDB dumping supports signal dumping in pure Verilog designs with ModelSim. but the otherresources(see below) said VHDL is also supported. http://blog ...
个人分类: fpga|3137 次阅读|0 个评论
分享 the jitter lecture from pericomm
2010-12-13 10:06
the jitter lecture from pericomm the total jitter the random jitter the
个人分类: hw|787 次阅读|0 个评论
分享 output the file using tcl
2010-12-6 14:48
puts " "
个人分类: tcl|676 次阅读|0 个评论
分享 the digital logic design
2010-12-6 09:22
counter to generate desired waveform pulse generation : the only conditions and else reset NOTE: else reset the only conditions not true again. shifter register ram r/w fsm these style. should be familiar
个人分类: fpga|500 次阅读|0 个评论
分享 tcl one point
2010-12-3 10:32
Tcl is also useful as a scripting language to tie other packages or programs together.
个人分类: tcl|486 次阅读|0 个评论
分享 tcl one point
2010-12-3 10:32
Tcl is also useful as a scripting language to tie other packages or programs together.
个人分类: tcl|295 次阅读|0 个评论
分享 file usage in verification
2010-12-2 09:29
the methodology $fscanf(para_file,"%s %h", token, value); case(token) parameter_name: para = value;
个人分类: fpga|394 次阅读|0 个评论
分享 debussy usage
2010-11-26 15:40
1. modelsim.ini set the novas 2.vsim -c -do novas.novas
个人分类: fpga|440 次阅读|0 个评论
分享 file access
2010-11-24 17:26
while { ] = 0 } { incr number; if(res 0 ){ continue } puts "line$number:\"$line\""; }
个人分类: tcl|789 次阅读|0 个评论
12下一页
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 1

    评论
  • 253

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 15:52 , Processed in 0.012480 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部