testset的个人空间 https://blog.eetop.cn/37174 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

modelsim and debussy(verdi) run together

已有 3137 次阅读| 2010-12-20 16:58 |个人分类:fpga

the information should read from
INSTALL/doc/linking(linking_dumping).pdf
the pdf said :
The Novas object file for FSDB dumping supports signal dumping in pure Verilog designs with ModelSim.

but the other resources(see below) said VHDL is also supported. 

to run it(below is verified for pure verilog only)
  1. compile the novas_log.v in INSTALL/share/PLI/modelsim_{xx}
    using  vcom  -work  novas_lib  {maybe path}/novas.vhd
    NOTE: modelsim_{xx} is detailed in the linking pdf above.
    NOTE : this method does not work if novas_log.v 
                  when novas_log.v used, fsdbDump command should in *.v cannot be a option in command 
  2. set novas = {maybe path}/novas_lib in modelsim.ini under [library]
  3. write dump.do file as below
    fsdbDumpfile      xx.fsdb
    fsdbDumpvars   level  INST_NAME
    the grammar information also detailed in the pdf above. 
  4. last
    vsim -c -do dump.do top_module novas.novas
Error: (vsim-3197) Load of "novas_fli.so" failed: novas_fli.so: cannot open shared object file: No such file or directory
the attachment is resources link packed

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 1

    评论
  • 253

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 19:06 , Processed in 0.028803 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部