在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 modelsim and debussy(verdi) run together
testset 2010-12-20 16:58
the information should read from INSTALL/doc/linking(linking_dumping).pdf the pdf said : The Novas object file for FSDB dumping supports signal dumping in pure Verilog designs with ModelSim. but the otherresources(see below) said VHDL is also supported. http://blog ...
个人分类: fpga|3146 次阅读|0 个评论
分享 the digital logic design
testset 2010-12-6 09:22
counter to generate desired waveform pulse generation : the only conditions and else reset NOTE: else reset the only conditions not true again. shifter register ram r/w fsm these style. should be familiar
个人分类: fpga|502 次阅读|0 个评论
分享 file usage in verification
testset 2010-12-2 09:29
the methodology $fscanf(para_file,"%s %h", token, value); case(token) parameter_name: para = value;
个人分类: fpga|395 次阅读|0 个评论
分享 debussy usage
testset 2010-11-26 15:40
1. modelsim.ini set the novas 2.vsim -c -do novas.novas
个人分类: fpga|443 次阅读|0 个评论
分享 test force and mti_Cmd
testset 2010-11-22 17:28
force mti_Cmd and NOTE let the simulator run extra time to let fsdbdump to get the data
个人分类: fpga|521 次阅读|0 个评论
分享 test clk control
testset 2010-11-22 17:17
the trick in repeat() @(clockege signals)
个人分类: fpga|497 次阅读|0 个评论
分享 gray code
testset 2010-11-12 14:32
wr sync to rd clock the conservative design methodology NOTE: the steady state must not be received wrong so mistake only occur when transition happens according to gray code, one bit 0 to 1 or 1 to 0, recognize the false one which is the last so if error only not read, no mis ...
个人分类: fpga|803 次阅读|0 个评论
分享 some fpga resources about meta
testset 2010-11-11 17:13
http://www.360doc.com/content/10/1031/15/532901_65479739.shtml http://www.altera.com/literature/wp/wp-01082-quartus-ii-metastability.pdf an473:using DCFIFO for data transfer between Asynchronous Clock Domains 文件: ...
个人分类: fpga|484 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 08:02 , Processed in 0.009022 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部