azsx8452的个人空间 https://blog.eetop.cn/225378 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 tcl dictionary
2010-11-25 13:46
# # The example of the previous lesson revisited - using dicts. # proc addname {dbVar first last} { upvar 1 $dbVar db # Create a new ID (stored in the name array too for easy access ) dict incr db ID set id # Create the new record dict set db $id firs ...
个人分类: 脚本|1462 次阅读|0 个评论
分享 DC synthesis script总结
2010-11-25 12:38
1. synthesis library 设置相关: Set_target_library, set_symbol_library, set_link_library, Set_search_path, set_link_path 2. rtl design 读入: Read_file –format verilog *.v Current_design $top_desing Link Check_desing ...
个人分类: 脚本|2728 次阅读|0 个评论
分享 超级链接--Makefile
2010-11-25 12:03
1. 轻轻松松产生 Makefile 9 S3 |' G% W. M http://bbs.dicder.com/viewthread.php?tid=72 " ]$ c% |* S+ H1 W( g% i/ m' T 1 e k8 Y% g$ 循序渐进学Makefile -- 一、Makefile 概述 http://bbs.dicder.com/viewthread.php?tid=64 Q+ Q v5 J6 K8 l v) j2 @ 6. 循序渐进学Makefile -- 二、 ...
个人分类: 脚本|602 次阅读|0 个评论
分享 用Cadence与hspice配合仿真模拟电路(转)
2010-11-25 11:46
Cadence公司有全套的模拟电路设计软件,但是实际情况多数设计公司都是使用Cadence与Synopsys两家公司的部分软件结合使用,各取所长。使用Cadence套件输入电原理图,然后使用Synopsys的Hspice进行仿真,再用Synopsys的awaves软件查看波形文件,或者用Sandwork公司的Spice Explorer软件查看波形文件。简要步骤如下: 用Caden ...
个人分类: EDA|3458 次阅读|0 个评论
分享 EDA 野史
2010-11-25 11:29
有趣的野史阿。。。 from: http://www.cqicc.com/bbs/redirect.php?tid=2goto=lastpost IC 设计中EDA工具的日臻完善已经使工程师完全摆脱了原先手工操作的蒙昧期。IC设计向来就是EDA工具和人脑的结合。 随着IC不断向高集成度、高速度、低功耗、高性能发展,没有高可靠性的计算机 ...
个人分类: EDA|3271 次阅读|1 个评论
分享 cadence ic610安装说明(YLMS OS,ubuntu9.10)
2010-11-25 11:23
1. 打开终端 sudo passwd root 给root用户设置一个密码,因为ubuntu9.1默认是没有密码的 loongsky@LifeTravel:~$ sudo passwd root password for loongsky: 输入新的 UNIX 口令: 重新输入新的 UNIX 口令: passwd:已成功更新密码 顺便用 uname -a 查看一下自己的主机名,一般就是终端窗口会显示 loongsky@Life ...
个人分类: EDA|10370 次阅读|0 个评论
分享 锁存器、触发器、寄存器和缓冲器的区别(转)
2010-11-25 10:43
一、 锁存器 锁存器( latch ) --- 对脉冲电平敏感,在时钟脉冲的电平作用下改变状态 锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能) 信号 的电平值,仅当锁存器处于使能状态时, 输出 才会随着数据输入发生变化。 锁存器不同于 触发器 ,它 ...
个人分类: 设计|3423 次阅读|13 个评论 热度 2
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 13

    评论
  • 284

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 18:33 , Processed in 0.021126 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部