JSICPhoebe的个人空间 https://blog.eetop.cn/1767155 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

EDA免费开源工具分享

热度 2已有 1590 次阅读| 2022-2-15 10:50 |系统分类:芯片设计

1609394981881220.png

开源工具Magic – IC layout, extraction, DRC

       

       下面就介绍几款开源的EDA工具,涉及IC、FPGA、System和PCB。

IC

        Alliance/Coriolis – VHDL compiler, simulator, logic synthesizer, automatic place and route

         Chisel – Hardware compiler framework

        cocotb – coroutine based co-simulation testbench environment for verifying VHDL and SystemVerilog using Python

         Edalize – Python library for interfacing EDA tools (Icarus, Yosys, ModelSim, Vivado, Verilator, GHDL, Quartus)

         FuseSoc – package manager and a set of build tools for HDL code.

         GHDL – G HDL, a VHDL analyzer, compiler, simulator and synthesizer

         Gnucap – GNU Circuit Analysis Package

         Icarus Verilog – Verilog simulator (free)

         ipyxact – Python based IP-XACT parser

         IRSIM – switch-level simulator

         LiteX – Migen/MiSoC based Core/SoC builder

         Magic – IC layout, extraction, DRC

         Migen – Python toolbox for HDL design

         Netgen – Layout Versus Schematic (LVS) tool

         nMigen – Python based HDL design

         Ngspice – SPICE circuit simulation

         OpenRAM – Memory compiler development framework

         OpenROAD – RTL to GDS in 24 hours, no human in the loop

         OpenSTA – Static Timing Analyzer

         Oregano – schematic capture and SPICE circuit simulation

         Qrouter – multi-level, over-the-cell maze router

         Qucs – Quite Universal Circuit Simulator

         RePlAce – global placement tool

         SpinalHDL – HDL that creates VHDL or Verilog

         Verilator – Verilog simulator

         XCircuit – Schematic capture for SPICE netlists and PostScript

         Xyce – Parallel analog circuit simulator from Sandia National Laboratories

         Yosys – Verilog RTL synthesis

FPGA

        Project IceStorm – Lattice bitstream format documentation

        Qflow – digital synthesis flow using Verilog or VHDL, targets Xilinx or Altera

        nextpnr – FPGA place and route

        SymbiFlow – FPGA framework for tools, Verilog to bitstream


SYSTEM

       PandA-bambu – framework for research in high-level synthesis and HW/SW co-design

       QElectroTech – Electronic diagrams

       WaveDrom – draws your Timing Diagram or Waveform from simple textual description

PCB

       Electric – IC design with schematic capture, layout, routing, LVS, PCB layout

        Fritzing – Schematic capture and PCB layout

        gEDA – Schematic capture

        KiCad – PCB layout

        KTechLab – Electronic and PIC microcontroller design

        LibrePCB – PCB Layout

        LTspice – SPICE simulation, schematic capture, waveform viewer, Analog Devices

        PCB – PCB layout

        pcb-rnd – PCB layout

1

点赞

刚表态过的朋友 (1 人)

发表评论 评论 (1 个评论)

回复 347305514 2023-11-8 15:55
看一看咯

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 6

    获赞
  • 9

    评论
  • 1141

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 09:11 , Processed in 0.016225 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部