宁安找小姐小妹包夜上门服务 ... https://blog.eetop.cn/116762 [收藏] [复制] [分享] [RSS] 【微信:99093588】宁安找小姐上门服务【微信:99093588】宁安找小姐保健按摩服务【微信:99093588】《宁安小姐服务电话》【微信:99093588】《宁安 ...

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

IC工具-Cadence仿真工具NC使用

已有 3749 次阅读| 2015-10-27 09:57 |个人分类:IC工具

基本仿真命令

通常一个典型的NC仿真命令是这样的:

irun -sv -access rwc *.sv -define rtl_sim  -input run.tcl&

其中-access rwc 表示NC生成的波形是可读可写可连接的,如果要开波形,必须加这个选项; -input run.tcl表示你需要传递进来一个tcl文件来控制仿真,例如想为Verdi dump fsdb文件而又不想放在TB里做。我的一个典型run.tcl是这样的:

call fsdbDumpfile tb_top.fsdb

call fsdbDumpvars 0 tb_top

run

exit

 

 

irun: 12.20-s029: (c) Copyright 1995-2014 Cadence Design Systems, Inc.

Usage:

                irun [options] files

                  File languages:  Verilog, SystemVerilog, VHDL, e, System-C, C, C++

                  In addition to the dash options all ncverilog plus options can be used.

                  Options shown below in lowercase can also be entered in uppercase.

                    For example, both -top and -TOP are valid.

 

                If you need more information about an option listed below, use the search

                facility in the online help system. In the "Search for" text box,

                enter the name of the option, including the dash.  The dash must be escaped.

                   (\-profile, for example)

 

  -helpall                   Display all supported option

  -helphelp                  Print out all the options controlling help

  -helpsubject <subject>     Display help on the specified subject

  -helpshowsubject           Show all the subjects for -helpsubject

  -64bit                     Invoke 64bit version

  -gui                       Invoke the Graphical User Interface

  -q                         Suppress informational messages(i.e., Quiet mode)

  -f <filename>              Scan file for args relative to irun invocation

  -elaborate                 Parse and elaborate, do NOT simulate

  -R                         Simulate using the last ncelab generated snapshot

  -incdir <dirs>             Specify directories to search for `include files

  -v <file>                  Specify a library file to be used

  -y <directory>             Specify a library directory to be used

  -libext <ext>              Specify extensions to be used for the -y search

  -top <lib.cell:view>       Specify the top-level unit

  -l <filename>              Set logfile name

  -access <+/-rwc>           Turn on read, write and/or connectivity access

  -input <file>              Read TCL commands from file

  -define <macro>            Define a macro from command line

  -pragma                    Enable pragma processing

  -gateloopwarn              Enable potential zero-delay gate loop warning

  -coverage <string>         Enable coverage instrumentation

  -assert                    Enable PSL language features

  -nospecify                 Suppress timing information from specify blocks

  -extbind <arg>             Option for SV binds in textfile.

  -parseinfo include=<du>    Enable verbose information for tick-include

 

Example commands to invoke irun

  irun sio85.v

  irun xor.v bot.vhd xor_verify.e

  irun -f run.f

  irun a.v b.v c.vhd -c

  irun -R -input commands.tcl

  irun -helpsubject specman

 

高级用法——NC通过参数传递变量

Nc-verilog仿真工具可以通过参数向测试环境传递参数,我猜测这样做的好处是可以不必重新编译。具体做法是在ncsim这个命令选项后加参数,例如:

ncsim +test_num=5 +num_events=40000 top

然后在testbench中可以这样把两个变量传递进来:

      if($value$plusargs("test_num=%d", test_num))

                $display ("Running test number %d",test_num);

      if($value$plusargs("num_events=%d", num_events))

                $display ("Running %d number of events",num_events);


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 3

    好友
  • 0

    获赞
  • 6

    评论
  • 470

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 18:41 , Processed in 0.015894 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部