在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 信息安全驱动汽车行业快速向数字化转型
hirain123 2024-7-5 14:15
开发一款安全性良好的软件是困难的,它需要专业知识的积累以及对常见编程缺陷和规则的了解,例如检查输入范围、管理内存分配和回收、寻址字符串格式、避免悬空指针等等。通常情况下,编写安全代码与开发人员编写“流畅”代码的自然愿望形成了对比,开发人员更希望将编写代码的精力集中在正确 ...
6 次阅读|0 个评论
分享 【京存】AI人工智能时代的分布式存储
京存高性能存储 2024-7-5 11:45
如今,AI人工智能的浪潮席卷全球,数据以前所未有的速度增长与积累。如何高效存储、管理和利用海量数据,成为推动AI发展的关键。 今日,我们将为您深度剖析AI人工智能分布式存储方案,伴随AI技术在图像识别、自然语言处理、机器学习等领域的广泛运用,数据量呈现出爆炸式增长。这些数据不但规模庞大,而且类型繁杂,涵盖 ...
22 次阅读|0 个评论
分享 维度问题3——教育
hebut_wolf 2024-7-5 10:35
高考、中考季,我来思考一下教育的本质。 以我现在的状态,一个中学生需要学习哪些知识到达到我这样一个状态呢? 或者说现在的教育中,有没有无效教育和教育盲区呢? 我认为是有的,而且不少。 现在的中学教育(初中和高 ...
24 次阅读|0 个评论
分享 SyestemVerilog Assertion
speedzheng23 2024-7-4 18:23
bind用法 bind module_name1 module_name2 inst_name(); module_name1:被bind的module module_name2:发起bind的module 应用场景:module_name2中做assertion,去bind rtl内部module;module_name2是interface 关键词property用来区分立即断言和并行断言 交叠交错 |- 非交叠蕴含 |= $ rose:判 ...
112 次阅读|0 个评论
分享 assertion_2
speedzheng23 2024-7-4 18:14
sequence s_state_transition(state, state1, state2); (state == state1 || state == state2) throughout (state == state2) ; endsequence property p_chk_transition2(clk, rst, trig, num, state, state1, state2); @(posedge clk) disable iff (rst) $rose(trig) (st ...
109 次阅读|0 个评论
分享 推出CoolSiC™ 650V G2 MOSFET: IMTA65R040M2H IMTA65R050M2H IMTA65R020M2H IMLT65R0 ..
mjd888 2024-7-4 18:06
概述 CoolSiC™ 650V G2 MOSFET可通过降低能耗来充分利用碳化硅的性能,从而在功率转换过程中实现更高效率。 这些CoolSiC 650V G2 MOSFET适用于各种功率半导体应用,如光伏、能量存储、电动汽车直流充电、电机驱动器和工业电源。配备CoolSiC G2的电动汽车用直流快速充电站与前几代产品相比,功耗降低了10%,同时实现更高 ...
103 次阅读|0 个评论
分享 维度问题4——信息的传递
hebut_wolf 2024-7-4 17:47
人不是唯一会传递信息的物种,但人类的信息确实最复杂的、最抽象的。 信息是对事实和规律的抽象。 作为工程师,我们最喜欢的就是学习原理和规律,因为那意味着抽象之后的简单。原理比规律更进一步,规律是“知其然”,原理是“知其所以然”。(原理 ...
113 次阅读|0 个评论
分享 7月11日云技术研讨会 | 车载信息安全全流程实施方案
hirain123 2024-7-4 15:41
伴随着汽车的智能网联化发展,网络攻击也逐渐渗透漫延至汽车领域,汽车行业面临着重大的信息安全挑战。此外,UNECE WP.29 R155和ISO/SAE 21434等标准也对汽车的信息安全提出了规范化要求,旨在分阶段将产品全生命周期中由信息安全威胁导致的风险降低到合理的范围。汽车信息安全不容忽视! ...
49 次阅读|0 个评论
分享 assertion_1
speedzheng23 2024-7-4 13:44
property p_chk_val(clk, rst, en, cyc, sig, val); @(posedge clk) disable iff (rst) en |- ##cyc sig === val; endproperty property p_chk2_val(clk, rst, en1, cyc1, en2, cyc2, sig, val); @(posedge clk) disable iff (rst) en1 ##cyc1 ...
31 次阅读|0 个评论
分享 【聚焦MIPI】系列之一:解读新一代汽车高速连接标准A-PHY
ElectroRent 2024-7-4 11:36
随着汽车行业的快速发展,车载通信技术也在不断进步。MIPI A-PHY作为一项新兴的连接标准,专为汽车应用设计的高速串行器-解串器(SerDes)物理层接口,正逐渐成为车载通信领域的明星技术。 MIPI A-PHY由MIPI联盟(Mobile Industry Processor Interface)开发,A-PHY标准的设计目的是为汽车中的摄像头、雷达、激光雷达和显 ...
37 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-7-5 14:26 , Processed in 0.035088 second(s), 2 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部