sunhongwei的个人空间 https://blog.eetop.cn/1708597 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

简单记录一些 ICC cmd

已有 402 次阅读| 2023-10-9 08:24 |系统分类:芯片设计

########################################################

cat rpts/Pt*/clock_trans* > 111.rpt.gz ; gunzip 111.rpt.gz ;  zgrep -v # 111.rpt | zgrep "Net:\|Slack:\|Driver" > 111


zegrep "ndpoint|slack \(" si_DFX_SC_CLK_min.rpt.gz | xargs -n5 | awk '{if( $5<-100) print $0}' | sed 's/_[0-9][0-9]*_/*/' | awk '! a[$2]++ {print $0}' | awk '{print "report_timing -to",$2}'      


gnome-panel &

SVDB


report_timing -delay_type min -nosplit -transition_time -crosstalk_delta -capacitance -nets -input_pins


create_routing_guide -boundary  { }  -horizontal_track_utilization 95  -vertical_track_utilization 95 -name 

 

create_placement_blockage -boundary {} -type partial


create_keepout_margin -type soft -outer { 0.114 0 0.114 0 } [get_cells * -hierarchical -filter "full_name =~ gc_vml2_walker/walker/addr2/rdif/* && (ref_name =~ *AO* || ref_name =~ *OA*)" ]


get_attribute [get_selection ] boundary 


write_change


set_cell_location -coordinates {-82.5360 256.5600} -orientation R0 -fixed  $cell


read_drc_error_file -file rpts/CbBaseFPDRC/drc.db


remove_buffer [get_flat_cells *charz*]


insert_buffer -location {} cell/Z  HDN6BLVT08_BUF_1 -new_cell_names hongsu0620 -new_net_names hongsu_0620


catch {add_buffer_on_route -cell_prefix  hongsu_drv_0902  -repeater_distance_length_ratio 0.5    -punch_port -lib_cell */BUFFD6BWP210H6P51CNODULVT [get_nets $net ]}

catch {add_buffer_on_route [get_nets -quiet -top -seg -of_objects [get_pin I2Place_HFSINV_124_323498/X] ] HDN6BLVT08_BUF_4 -net_prefix ECO_Oct21_data_tran -cell_prefix ECO_Oct21_data_tran -first_distance_length_ratio 0.5 -repeater_distance_length_ratio 0.99 -no_legalize -punch_port }

add_buffer_on_route -punch_port -no_legalize -location { } -detect_layer -cell_prefix hongsu_setup -net_prefix hongsu_setup  [get_nets  ] HDN6BLVT08_BUF_4


set_congestion_options -max_util 0.4 -coordinate {x1 y1 x2 y2}


source /tool/aticad/1.0/src/zoo/sparkman/estimateIOBufferArea_i2.tcl

##############################################################################

change_selection [get_cells [gui_get_highlight -color purple]]

##########clone cell############

create_cell cell_lone [get_lib_cell */CKLNQD8BWP210H6P51CNODULVT]

set_cell_location -coordinates {-194.2640 -1095.1120}  cell_lone

connect_net -net *net*  *pin*

disconnect_net -net *net*  *pin*

connect_pin -incremental  -driver  *pin*  *pin*

connect_net -net *net*  *pin*

connect_net -net *net*  *pin*

#######get_selection#####can catch full#########:w!

collection_to_list

#remove INV ###same chain## a pair# 

remove_buffer {INV1 INV2}     connect_pins

##route top#########add net data/ssb/net#########

set_routing_rule $nets -min_routing_layer M7 -max_routing_layer M11


catch {remove_routes -nets [get_nets   $nets] -net_types signal -detail_route }

catch { set_routing_rule -rule Track2X_Wide1X_Metal -max_routing_layer M11 -min_routing_layer M7 -min_layer_mode allow_pin_connection   [get_nets  $nets  ]  }

get_routing_rules *

route_eco -net $nets

#remove_net

remove_routes -detail_route -nets 

#

split_fanout -driver gc_atcl2/gc_atcl2_dp/cache1_bigk/eco_cell_0/X  -loads {gc_atcl2/gc_atcl2_dp/cache1_bigk/U74/B1 gc_atcl2/gc_atcl2_dp/cache1_bigk/U87/B1 gc_atcl2/gc_atcl2_dp/cache1_bigk/U84/B1 gc_atcl2/gc_atcl2_dp/cache1_bigk/U1299/B1 gc_atcl2/gc_atcl2_dp/cache1_bigk/U177/B1 gc_atcl2/gc_atcl2_dp/cache1_bigk/U121/B1 gc_atcl2/gc_atcl2_dp/cache1_bigk/U127/B1 gc_atcl2/gc_atcl2_dp/cache1_bigk/I2OptCts_BUFT_S_3337/A gc_atcl2/gc_atcl2_dp/cache1_bigk/U1292/B1} -lib_cell */HDN6BLVT08_BUF_4 -net_prefix yf_tran1  -cell_prefix yf_tran1

split_fanout -driver   -loads { }  -lib_cell */HDN6BLVT08_BUF_4 -net_prefix hongsu_IR  -cell_prefix hongsu_IR


#pt

source /tools/aticad/1.0/src/zoo/scchen/tcl/eco/from_others/pt_fixsetup_fastswap.tcl ; fixstp_swap -paths_cmd "get_timing_paths -group {ACLK* SHAPE_CLK *clock_gating*} -unique_pins -nworst 20 -max_paths 400000"

/tools/aticad/1.0/src/zoo/scchen/perl/eco/eco_fix.pl -process tsmc7 -gen_hold          


/home/gli1/script/ECO/autofix_hold_GNB_Tile_tsmc_N7/autofix_hold_Tile_Example_N6_20191016.tcl


perl /home/scchen/script/amd_flow/sparkman/aud_mini_fct/change_1tile_to_2tile_report.pl all_hold.rpt.sorted.gz aud_bdp_top_t1



#fix_lvs

set_host_options -max_cores 16

check_lvs -checks short -max_errors 10000 

check_pg_connectivity



##check a_margin early |    b_margin  delay

report_timing -to [all_fanin -to -flat]

report_timing  -nets -input_pins -nosplit -from [all_fanout -from *  -endpoints_only -flat ]


##check phyv

perl /tool/aticad/1.0/src/zoo/arden_gfx/check_pv.pl



#fixdrv  note:cell_type   HDB HDN6B

/tools/aticad/1.0/src/zoo/scchen/perl/eco/eco_fix.pl -process tsmc7    &    perl /home/gli1/script/ECO/fix_fct_DRV_n6_v2.pl -all


#fix_setup  tt0p6

/home/ligzhang/vt_swap_n6.tcl


#fix_clk_tran ICC

source ~/fix_clk_tran.tcl   DRV_get_violation_file -rpt   #ECO_toolbar 


#fix_hold  do some step 123

/home/ligzhang/fix_conflict_hold_N6.tcl



点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 1

    关注
  • 2

    粉丝
  • 0

    好友
  • 2

    获赞
  • 4

    评论
  • 229

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 06:34 , Processed in 0.023939 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部