yuanpin318的个人空间 https://blog.eetop.cn/13812 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 STA
2019-6-16 21:51
1. setup time 如何计算? 2. hold time 如何计算?
319 次阅读|0 个评论
分享 Latch
2019-6-16 21:48
1. 三态门应该怎样设计? 2. 为什么CMOS latch使用传输门结构,而不实用本科学习的双与门结构? 3. latch 用于 timing borrowing 4. lock up latch
个人分类: Misc|706 次阅读|0 个评论
分享 verification basic
2019-5-27 23:33
1. Directed Verification - Random Verification 1.1 Directed Verification testcase生成stimulus, Env把stimulus发送给DUT, 并收集DUT的response并检测; directed verification 也会使用一定的randomization(通常针对data) 每个t ...
个人分类: Verification|914 次阅读|0 个评论 热度 5
分享 randomize(null)用作checker的例子
2019-5-27 21:45
class Eth_rx ; rand integer Pkt_len ; rand integer Var ; constraint var_c { Var 1518 ; Var 64 ;} endclass program Eth_25 ; Eth_rx rx = new (); initial begin rx . Pkt_len = 32 ; rx . Var ...
个人分类: Verilog|1229 次阅读|0 个评论 热度 6
分享 combinational loop detect
2019-5-27 13:00
1. in DC, use "report_loop" 2. Linting 3. vcs 3.1 compile, evaluation and simv otpion vcs +vcs+loopdetect ... 3.2 ucli interface: use loop_detect.tcl in the hanging point #!/usr/bin/tclsh proc loop_detect {args} { set h ...
个人分类: Verilog|1963 次阅读|0 个评论
分享 Verilog basics
2019-5-27 10:37
0 Verilog History 0.1. IEEE Std 1364-1995 0.2. IEEE std 1364-2001 0.3. IEEE std 1364-2005 1. 如何加pullup rtranif1 (port0, vdd_pull1, en); 注意:assign (pull1, pull0) port0 = en ? 1'b1 : 1' ...
个人分类: Verilog|830 次阅读|0 个评论
分享 real issue in verification
2019-5-27 10:19
1. add monitor on all pad, to make sure no 'z on pad. RTL don't have issue, but GLS will fail since x propogation
个人分类: Verification|433 次阅读|0 个评论
分享 low power
2019-5-24 16:05
1. Cadence: cpf 2. synopsys: upf 2.1 旧的工具:mvrc 2.2 新的工具:VCLP 3. LVT: 低阈值,延迟小,静态功耗大,常用于修复setup timing violation RVT: 正常阈值,synthesis和PR时最常使用的cell HVT: 高阈值,延迟大,静 ...
个人分类: Low Power|1609 次阅读|0 个评论
分享 Verdi 与 VCS 工作
2019-5-24 16:01
1. 2018版本以后, 使用“-kdb" 2. 2018版本以前,使用“-P novas.tab”
个人分类: Verdi|759 次阅读|0 个评论
分享 UVM process reset
2019-5-24 15:58
reset 是异步信号, uvm 处理会 比较麻烦
个人分类: UVM|406 次阅读|0 个评论
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 11

    粉丝
  • 2

    好友
  • 18

    获赞
  • 7

    评论
  • 3608

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-26 13:27 , Processed in 0.028594 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部