voiluce的个人空间 https://blog.eetop.cn/1445462 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料
统计信息

已有 223 人来访过

  • 积分: 6460
  • 资产: 6460
  • 发贴收入: 1939
  • 推广收入: --
  • 附件收入: 80
  • 下载支出: 153
  • 好友: 3
  • 主题: --
  • 日志: --
  • 相册: --
  • 分享: --

    现在还没有相册

    现在还没有记录

  • 居住地内蒙古自治区 呼和浩特市

查看全部个人资料

    现在还没有动态

现在还没有日志

现在还没有主题

你需要登录后才可以留言 登录 | 注册


荒何 2023-11-8 10:36
voiluce: 抱歉刚刚才看到。

这个是clock path 上的logic path 不唯一,需要在合适的mux 加上 case analysis
多谢,再麻烦问下,这个具体该怎么加呢
荒何 2023-11-3 15:37
博主,请问下我在综合的时候出现了好多这样的警告,这个该怎么解决呀?我忽略掉布局布线完lvs出现了好多浮空线和端口的问题,是不是这个问题导致的呢? Warning: A non-unate path in clock network for clock 'module_mode0_inst_tb_clk_9' from pin 'module_mode0_inst_tb/mode0_clk_gen_inst/U86/Z' is detected. (TIM-052) Warning: A non-unate path in clock network for clock 'module_mode0_inst_tb_clk_9' from pin 'module_mode0_inst_tb/mode0_clk_gen_inst/U86/Z' is detected. (TIM-052) Warning: A non-unate p ... ...
荒何 2023-9-13 17:26
博主,我这是tcbwp7t的库,请问下我在创建power straps时,用的命令是 create_power_straps -direction vertical \                     -configure step_and_stop \                     -nets {VDD VSS} \                     -layer METAL4 \              ... ...
荒何 2023-4-21 19:52
请问博主,我在dc综合时,出现了好多这样的警告我、提示没有驱动线和负载,这些问题该怎么处理?另外警告中提到的C891这个名字对应的cell是什么,该怎么去查看?
Warning: In design 'cic_filter_1_z__1_7', cell 'C891' does not drive any nets. (LINT-1)

Warning: In design 'cic_filter_top', net 'u_cic_filter_mode0/filter_node4[48]' driven by pin 'u_cic_filter_mode0/u_cic_filter_hr_1/y_o[48]' has no loads. (LINT-2)
查看全部
最近访客
关闭

站长推荐 上一条 /2 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-20 14:45 , Processed in 0.016731 second(s), 10 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部