在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 针对verilog 编写的VIM 函数
yuanqui_cn 2014-12-17 07:21
在vim写verilog代码时,经常一些重复操作,所以自己写了一些vim 脚本函数,实现自动。 //左边为原始内容,后边为函数执行后内容。 //--------------------------------------------------------functions //列 递增修改 , ColInc(StartNum,LoopNum,StepNum,SkipLineNum,LoopNum) &n ...
个人分类: viml|2022 次阅读|0 个评论
分享 查看chipscope的波形文件
yuanqui_cn 2014-12-12 21:24
查看chipscope的波形文件 chipscope采集波形回来以后,信号数量少的波形,还是很好查看和检查波形, 可是如果信号数量多的话,操作不方便,相比仿真环境下的debussy(novas)差太多了。能用debussy(novas)直接看吗? 在eetop,有很多同学用了各种方法: 同学catcat_2回答: 然后用modelsim, 有个命令叫vcd2wlf; vcd2wlf ...
个人分类: debussy|4633 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-7 08:52 , Processed in 0.009783 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部