meteorbird的个人空间 https://blog.eetop.cn/xyan [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

微电子工艺专有名词2

热度 3已有 15975 次阅读| 2007-4-17 09:41 |个人分类:天天学习

天气: 晴朗
心情: 高兴

101 LATCH UP 栓锁效应 当VLSI线路密度增加,Latch-Up之故障模式于MOS VLSI中将愈来愈严重,且仅发生于 CMOS电路,所有COMS电路西寄生晶体管所引起的LATCH-UP问题称之为SCR (SILICON-CONYROLLED RECTIFIER)LATCH-UP,在S1基体内CMOS中形成两个双截子晶体管P-N-P-N形式的路径,有如一个垂直的P+-N-P与一个水平N+-P-N晶体管组合形成于CMOS反向器,如果电压降过大或受到外界电压、电流或光的触发时,将造成两个晶体管互相导过而短路,严重的话将使IC烧毁,故设计CMOS路防止LATCH-UP的发生是当前IC界最重要的课题。
  102 LAYOUT 布局 此名词用在IC设计时,是指将设计者根据客户需求所设计之线路,经由CAD(计算机辅助设计),转换成实际制作IC时,所需要之光罩布局,以便去制作光罩。因此此一布局工作,关系到光罩制作出后是和原设计者之要求符何,因此必须根据一定之规则,好比一场游戏一样,必须循一定之规则,才能顺利完成,而布局完成后之图形便是IC工厂制作时所看到的光罩图形。
  103 LOAD LOCK 传送室 用来隔绝反应室与外界大器直接接触,以确保反应室内之洁净,降低反应是受污染之程度。一般用于电浆蚀刻及金属溅度等具有真空反应室之设备。
  104 LOT NUMBER 批号 批号乃是为线上所有材料之身份证,KEY IN批号如同申报流动户口,经由COMAX系统藉以管制追踪每批材料之所在站别,并得以查出每批材料之详细相关资料,固为生产过程中之重要步骤。批号为7,其编排方法如下: X X X X X 年码 流水序号92 0000193 0000294 00003以下类推※批号之产生乃于最投片时由SMS系统自动产生。
  105 LPCVD(LOW PRESSURE) 低压化学气相沉积 LPCVD的全名是Low Pressure Chemical Vapor Deposition,即低压化学气相沉积。这是一种沉积方法。在IC制程中,主要在生成氮化硅、复晶硅、二氧化硅及非晶硅等不同材料。
  106 LP SINTER 低压烧结 低压烧结(Low Pressure Sinter, LP Sinter),指在低于大气压力下(一般为50 Pa或更地),加热组件。目地在使金属膜内之原子,籍由热运动重新排列,以减少原有之晶格缺陷,形成较佳之金属结晶颗粒以增加膜之品质。由于在低压下热传导之途径主要为辐射(Radiation)而非对流(Convection)或传导(Conduction),因此控温之方式须选以加热线圈为监控温度(Spike Control)而非实际芯片或管内之温度(Profile Control),以避免过热(Over-Shooting)之现象。
  107 LPY(LASER PROBE YIELD) 雷射修补前测试良率 针测出能够被雷射修补后,产生出全功能的芯片,比便送入雷射修补机,完成雷射修补的动作。此测试时由全功能芯片一开始就是全功能芯片,须要经过雷射修补前测试,计算出缺陷多寡及位置,以便进行雷射修补,将缺陷较少的芯片修补成全功能芯片。(缺陷超过一定限度时无法修补成全功能芯片)
  108 MASK 光罩 MASK原意为面具,而事实上光罩在整个IC制作流程上,所扮演之角色艺有几分神似。光ˋ照主要之用途在于利用光阻制程,将我们所需要之图形一直复印在芯片上,制作很多之IC晶方。而光罩所用只对准机台,也分为1X,5X,10X,MASK(即1:1,5:1,10:1)等,而根据其制作之材质又可分为石英光罩(QUARTY),绿玻璃光罩等。
  109 MICRO,MICROMETER,MICRON 微,微米 1.定义:Micro为10-6 1 Micro=10-61 Micrometer =10-6 m=1 Micro=1μm通常我们说1μ即为10-6 m又因为1?=10-8㎝=10-10m(原子大小)故1μ=10,000?约唯一万个原子堆积而成的厚度或长度。
  110 MISALIGN 对准不良 1.定义:这层光阻图案和上层【即留在芯片上者】图案叠对不好,超出规格。可依照不同层次的规格决定要不要修改。原因:人为、机台、芯片弯曲、光罩
  111 MOS 金氧半导体 1.定义:构成IC的晶体管结构可分为两型-双载子型(bipolar)和MOS型(Metal-Oxide-Semiconductor)。双载子型IC的运算速度较快但电力消耗较大,制造工程也复杂,并不是VLSI的主流,而MOS型是由电厂效应晶体管(FET)集积化而成。先在硅上形成绝缘氧化膜之后,再由它上面的外加电极(金属或复晶硅)加入电场来控制其动作,制程上比较简单,,。也较不耗电,最早成为实用化的是P-MOS,但其动作速度较慢,不久更高速的N-MOS也被采用。一旦进入VLSI的领域之后,NMOS的功率消耗还是太大了于是由P-MOS及 N_MOS组合而成速度更高,电力消耗更少的互补式金氧半导体(CMOS,Complementary MOS)遂成为主流。
  112 MPY(MULTI PROBE YIELD) 多功能侦测良率 针测出符合电路特性要求的芯片,以便送刀封包工厂制成内存成品;此测试时得到的良品率称之。每片晶圆上并不是每一个芯片都能符合电路特性的要求,因此须要多功能针测以找出符合要求的芯片。
  113 MTBF(MEAN TIME BETWEEN FAILURE) MTBF为设备可靠度的评估标准之一,其意指设备前后发生故障的平均时间。MTBF时间愈短表示设备的可靠度愈佳,另外MTTR为Mean Time to Repair为评估设备修复的能力。
  114 N2,NITROGEN 氮气 定义:空气中约4/5是氮气。氮气势一安定之惰性气体,由于取得不难且安定,故Fib内常用以当作Purge管路,除去脏污、保护气氛、传送气体(Carrier Gas)、及稀释(Dilute)用途。另外,氮气在零下196℃(77F)以下即以液态存在,故常被用作真空冷却源。现在Fab内Clean House用之氮气为厂务提供99.999﹪纯度者,生产线路所用之氮气为瓶装更高纯度者。因氮气之用量可局部反应生产成本,故应节约使用以降低成本。
  115 N,P TYPE SEMICONDUCTOR N,P型半导体 1. 定义:一般金属由于阻值相当低(10-2Ω-㎝以下),因此称之为良导体,而氧化物阻值高至105Ω-㎝以上,称之非导体或绝缘体。若阻值在10-2~105Ω-㎝之间,则名为半导体。IC工业使用的硅芯片,阻值就是在半导体的范围,但由于Si(硅)是四价键结(共价键)的结构,若参杂有如砷(As)磷(P)等五价元素,且占据硅原子的地位(Substitutional Sites),则多出一个电子,可用来导电,使导电性增加,称之为N型半导体。若参杂硼(B)等三价元素,且仍占据硅原子的地位,则键结少了一个电子,因此其它键结电子在足够的热激发下,可以过来填补,如此连续的电子填补,称之为电洞传导,亦使硅之导电性增加,称之为P型半导体。因此N型半导体中,其主要带电粒子为带负电的电子,而在P型半导体中,则为带正电的电洞。在平衡状况下(室温)不管N型或P型半导体,其电子均与电洞浓度的乘积值不变。故一方浓度增加,另一方即相对减少。
  116 NSG(NONDOPED SILICATE GLASS) 无参入杂质硅酸盐玻璃 NSG为半导体集成电路中之绝缘层材料,通常以化学气相沉积的方式声称,具有良好的均匀覆盖特性以及良好的绝缘性质。主要应用于闸极与金属或金属与金属间高低不平的表面产生均匀的覆盖及良好的绝缘,并且有助于后绩平坦化制程薄膜的生成。
  117 NUMERICAL APERTURE(N.A.) 数值孔径 1. 定义:NA是投影式对准机,其光学系统之解析力(Resolution)好坏的一项指针。NA值越大,则其解析力也越佳。依照定义,数值孔径 NA=n.sin?=n.D/2/f=n.D/2f换算成照相机光圈值f-number(f/#)可得f/#=f/d=1/2NA(D:镜面直径。f:镜头焦距。n:镜头折射率。f/#即我们在照相机镜头之光圈值上常见的f/16,8,5.6,4,5.3,2.8等即是)亦即,镜片越大,焦距越短者,解析力就越佳,但镜片的制作也就越困难,因为易产生色差(Chromatic Aberration)及像畸变(Distorsion),以CANON Stepper为例,其NA=0.42,换算成照相机光圈,Stepper镜片之昂贵也就不足为奇了。
  118 OEB(OXIDE ETCH BACK ) 氧化层平坦化蚀刻 将Poly-1上之多余氧化层(Filling OX)除去,以达到平坦化之目的。
  119 OHMIC CONTACT 欧姆接触 1. 定义:欧姆接触试纸金属与半导体之接触,而其接触面之电阻值远小于半导体本身之电阻,使得组件操作时,大部分的电压降在于活动区(Active region)而不在接触面。欲形成好的欧姆接触,有两个先决条件:A.金属与半导体间有低的接口能障(Barrier Height)B.半导体有高浓度的杂质渗入(ND>=1018 ㎝-3)前者可使接口电流中热激发部分(Thermionic Emission)增加;后者则使接口空乏区变窄,电子有更多的机会直接穿透(Tunneling),而同时Rc阻值降低。若半导体不是硅晶,而是其它能量间隙(Energy Gap)较大的半导体(如GaAs),则较难形成欧姆接触(无适当的金属可用),必须于半导体表面参杂高浓度杂质,形成Metal-n+ -n or Metal-P+ -P等结构。
  120 ONO(OXIDE NITRIDE OXIDE) 氧化层-氮化层-氧化层 半导体组件,常以ONO三层结构做为介电质(类似电容器),以储存电荷,使得资料得以在此存取。在此氧化层 - 氮化层 – 氧化层三层结构,其中氧化层与基晶的结合较氮化层好,而氮化层居中,则可阻挡缺陷(如pinhole)的延展,故此三层结构可互补所缺。
  121 OPL (OP LIFE)(OPERATION LIFE TEST) 使用期限(寿命) 任何对象从开始使用到失效所花时间为失败时间(Time of Failure: TF),对产品而言,针对其工作使用环境(Operation),所找出的TF,即为其使用期限(Operation Life Time)。其方法为:AF = exp [? (Estress-Eop)] *exp [ Ea / k (1 / Top – / Tstress)]..(1)K = 8.63 * 10-5Failure Rate λ (t) = No. of Failure * 109 / Tatal Test Time * AF * Device, in FITTotal Test Time * AF = Operation Hours
  122 OXYGEN 氧气 OXYGEN氧气无色,无气味,无味道双原子气体。在-183℃液化成浅蓝色的液体,在218℃固化。在海平面上,空气中约占20﹪体积的氧,溶于水和乙醚,不可燃,可以助燃。在电浆光阻去除中,氧气主要用来去除光阻用。在电浆干蚀刻中,氧混入CF4气体中,可增加CF4气体的蚀刻速度。目前氧气主要用途在于电浆光阻去除;利用氧气在电浆中产生氧的自由基(RADICAL)与光阻中的有机物反应,产生二氧化碳和水气体蒸发,达到去除光阻的效果。
  123 P31 磷 ·自然界元素之一。由15个质子及16个中子所组成。·离子植入的磷离子,是由气体PH3经灯丝加热分解得到的3 L P+离子,借着Extraction 抽出气源室经加速管加速后,布植在芯片上。·是一种N-type离子,用做磷植入,S/D植入等。
  124 PARTICLE CONTAMINATION 尘粒污染 尘粒污染:由于芯片制造过程甚为漫长,经过的机器、人为处理操作过程甚为繁杂,但因机器、人为均获多或少会产生一些尘粒,这些尘粒一但沾附到芯片上,集会造成污染影响,而伤害到产品品质与良率,此即『尘粒污染』,我们在操作过程中应时时防着各项尘粒污染来源。
  125 PARTICLE COUNTER 尘粒计数器 1.定义:快捷方式市之等即是以每立方呎内之为例数为分类标准,而计算微粒数的仪器即称尘粒计数器。
  126 PASSIVATION OXIDE(P/O) 护层 1. 定义:为IC最后的制程,用以隔绝Device和大气2. 目的:因与大气接触,故着重在Corrosion(铝腐蚀)、Crack(龟裂)、Pin Hole(针孔)之防治。除了防止组件为大气中污染之隔绝外,护层也可当作Metal层之保护,避免Metal被刮伤。3. 方法:护层可分两种材料: A.大部分产品以PSG当护层(P Content 2-4﹪)。 B.少部份以PECVD沉积之氮化硅为之。
  127 P/D(PARTICLE DEFECT) 尘粒缺陷 Particle Defect颗粒缺陷为当今影响4M DRAM制程良率的最大主因,一般而言,particle size如大于design rule的二分之一,足以造成组件的损坏。故在clean room的洁净度要求,操作人员的洁净纪律、设备本身的结构以及制程的条件和设备维修的能力,无一不为了降低particle和提升良率而做最大的努力。
  128 PECVD 电浆CVD 1.定义:CVD化学反应所须知能量可以是热能、光能或电浆。以电浆催化之CVD称作PECVD。PECVD的好处是反应速度快、较低的基版温度及Step Coverage;缺点是产生较大的应力,现Fib内仅利用PECVD做氮化硅护层。PECVD英文全名为Plasma Enhancement CVD。
  129 PELLICLE 光罩护膜 一般在光罩过程中,易有微尘掉落光罩上,而使chip有重复性缺陷,故在光罩上下面包围一层膜,称之为Pellicle。好处如下:1. 微层仅只掉落在膜上,光绕射结果对于此微尘影响图按程度将降至最低。2. 无须经清洗过程而只须用空气枪吹去膜上异物即可将异物(微层)去除。
  130 PELLICLE 光罩保护膜 顾名思义,光罩保护膜之最大功能,即在保护光罩,使之不受外来赃污物之污染,而保持光罩之洁净;一般使用之材料为硝化织微素,而厚度较常用的有0.28U,0.86U两种。一般而言,可将PELLICLE分为两部分:(I)FRAME:骨架部分,支持其薄膜之支架,其高度称为STAND-OFF,一般而言,愈高其能忍受PARTICLE之能力愈高,但须配合机台之设计使用,(II)FILM:透明之薄膜,其厚度之均匀度,透光率是使用时重要之参数。PELLICLE之寿命,除了人为损伤外,一般均可曝光数十万次,透光率衰减后才停用并更换。光罩PELLICLE膜 PARTICLE LENS SYSTEMWAFERPELLICLE面之成像
  131 PH3 氢化磷 1.定义:一种半导体工业之气体,经灯丝加热供给能量后,可分解成P4,PH4、PH2(及H4)。通常31P4最大。可由质谱谱场分析出来,做N-type离子布植用
  132 PHOTORESIST 光阻 光阻为有机材料,系利用光线照射始有机物质进行光化学反应而产生分子结构变化,在使用溶剂使之显像。目前一般商用光阻主要含有二部分(1)高分子树酯(2)光活性物质,一工作原理不同可分为正,负两类:(1)正型:光活性物质为 DIAZOQUINOUE类,照光前难溶 于碱液中,有抑制溶解树酯功能, 照光后产生羧酸,反有利于碱液 溶解,因此可区分曝光区与非曝光区。(2)负型:光活性物质为DIAZIDE类, 照后生成及不安定之双电子自由 基,能与高分子树酯键结,而增加 分子量,选择适当溶剂便可区分曝 光区与非曝光区。目前SMIC使用之正、负光阻,皆为适用于G-LINE(436NM)制程之光阻。
  133 PILOT WAFER 试作芯片 Pilot Wafer为试作芯片,并非生产芯片(Prime Wafer)。在操作机器前,为了确定机器是否正常所作的试片,或机器作完维修、保养后所作的测试用芯片均称为Pilot Wafer。由于Pilot Wafer所做出来的结果将决定该批的制程条件。故处理Pilot Wafer时,所抱持的态度必须和处理Prime Wafere一样慎重。
  134 PINHOLE 针孔 在光阻制程所谓的针孔,就是在光阻覆盖时,光阻薄膜无法完全盖住芯片表面,而刘有细小如针孔般的缺陷,再蚀刻制程时,很可能就被蚀刻制程穿透而致芯片的报废。在以往使用负光阻制程时,由于负光阻粘稠性较大,覆盖较薄,因此容易出现针孔,固有些层次(如CONTACT)必须覆盖两次,才能避免针孔的发生。目前制程大多使用正光阻,覆盖较厚,已无针孔的问题存在,QC亦不作针孔测试。
  135 PIRANHA CLEAN 过氧硫酸清洗 过氧硫酸(peroxymonosulfuric acid)又称为CARO’s acid,主要由硫酸加双氧水反应声称,反应式如下:H2SO4 + H2O2 ﹤=﹥H2SO5 + H2OH2SO5为一强氧化剂,可将有机物氧化分解为CO2 + H2O,因此在IC制程中常用来去除残留之光阻,另外对金属污染及微尘污染也有相当好的清洗效果。Piranha原意为食人鱼,在这里则是用来形容过氧硫酸与光阻之间的剧烈反应。
  136 PIX 聚醯胺膜 PIX作用为缓冲护层,可保护CELL于封装时缓冲封装所造成之应力,且可隔绝α – Particle,PIX本身为一负光阻。
  137 PLASMA ETCHING 电将蚀刻 1.定义:在干蚀刻技术中,一班多采用电浆蚀刻与活性离子蚀刻,通常电浆蚀刻使用较高之压力(大于200mT)及较小之RF功率,当芯片浸在电浆之中,暴露在电将之表面层原子或分子与电浆中之活性原子接触并发生反应形成气态生成物而离开晶面造成蚀刻,此类蚀刻即称之为电浆蚀刻。所谓电浆极为气体分子在一电场中被游离成离子(正、负电荷)、电子及中性基(Radical)等,在纯化学反应中,吾人取中性基为蚀刻因子,在R.I.E时,取活性离子作为中性因子。
  138 PM(PREVENTIVE MAINTENANCE) 定期保养 设备正常运转期间停机,实施定期(每天、每周、每月或每季等)的设备保养。例如:检修,上油,润滑,更换消耗材等。有良好的PM才能发挥高的设备运转效率,发挥设备最高的使用率。
  139 POCL3 三氯氧化磷 1.定义:一种用作N4扩散之化合物。通常以N2为“载气”(Carrier Gas),带着POCl3和O2(氧气)一起进入高温炉管,然后产生下列反应:4POCl3+3O2 2P2 O5+6Cl25 P2 O5+5Si 4P+5SiO2在反应过程中,磷沉淀于硅表面,同时硅表面亦行成一氧化层。
  140 POLY SILICON 复晶硅 SILICON是IC制造的主要原料之一。通常其结构都是单晶(单一方向的晶体)。而本名词也是SILICON,只是其结构是复晶结构。及其结晶的结构是多方向的,而非单一方向。POLY SILICON通常用低压化学气相沉积的方法沉积而得。其主要用途在作MOS的闸极极单元的接连。
  141 POX 聚醯胺膜含光罩功能 POX为PIX / PO Reticle Combine之略写,即PIX除具缓冲护层之作用外,同时可做PO Pattern用之光阻。PIX本身为一负光阻。
  142 PREHEAT 预热 1.定义:在3190作金属溅镀时,第一个Station适用来预热芯片。2.目的:2-1使芯片在大气中吸附的气体,藉加热加速其在真空中之排除,溅镀时可以有较干净之接口。2-2芯片温度高,溅镀之金属原子可以有较高之移动率,而使表面扩散较完全,有较好的表面覆盖性。※但预热的温度有其限制,高的建度温度使得金属与硅之接触电阻升高,也使得金属突起(Hillock)变的严重,而让表面反射率变差,在金属闸产品,也发现温度不同会造成其临界电压的改变。
  143 PRESSURE 压力 1. .定义:气体分子撞击反应室之器璧所产生之力量。气体分子越少、压力越低。反之气体分子越多、压力越高。·如压力<大气压力时,表示真空,其压力单位即为真空度。1大气压=1atm=760mmHg水银柱压力1Torr(扥)=1/760atm=1mmHg·如压力>大气压力时,即用单位面积所受的重量表示,如㎏/㎝2 或psi(1b(磅)/in2(吋))。一般电浆蚀刻机之压力为50millitorr~0.5Torr。一般使用之气瓶之压力约为500psi~2000PSI。
  144 REACTIVE ION ETCHING(R.I.E.) 活性离子蚀刻 1. 定义:在电浆蚀刻时,电浆里包含了活性原子、活性离子(正离子)及电子,当压力较低(小于100mT)且气体两端所加之电压购高时,活性离子即被迅速加速冲向电极上之芯片,而撞击晶面上暴露在电浆中的表层,将表层之原子击出,再与活性原子反应因而造成蚀刻,此类之蚀刻即称之为活性离子蚀刻。目前我们已有的R.I.E蚀刻机台为8110、8130、8330等。
  145 RECIPE 程序 PECIPE在字典的解释是医生的处方、厨师的食谱。在IC制程中则意指制程的程序。IC制造中各个步骤都有不同的要求:如温度要多少?某气体流量多少?反应室的压力多少?等等甚多的参数都是PECIPE内容的一部份。
  146 REFLOW 回流 回流是IC制造中医种特殊技术。做法是将磷或硼或两者合一,参入二氧化硅中(常用CVD方式)。之后将芯片推入高温炉管一段时间,该二氧化硅层(PSG BPSG或BSG)即会『流动』,使芯片表面变得较平坦。此即回流平坦化技术。回流取该氧化层『重新流动』之意。
  147 REGISTRATION ERROR 注记差 1. 定义:IC芯片的两个层次之间,必须要正确地叠在一起,此二层次图案完全正确对准之差距,即称为Registration Error。
  148 RELIABILITY 可靠性 可靠性实在有很多方法来描述,但我们指针对两个观点来讨论。一般来说,可靠性就是客户对我们SMIC的产品,再他们使用一段很长的时间之后,仍能符合他们的信赖与期待。更精确的描述就是我们SMIC的产品在我们所要求的特殊环境的测试,经过一段很长的时间之后,仍能确保IC功能、函数的正常操作及称为可靠性合格产品。测试的项目很多,半总离不开电压、温度、湿度、机械应力及压力等。
  149 REPEAT DEFECT 重复性缺点 1. 定义:重复性缺点系指同一芯片内每一个曝光区的相同位置均出现相同之缺点。重复性缺点仅发生于Stepper曝光之产品。重复性缺点所产生的现象可分为两种:A.光罩图案缺失:造成芯片图案缺失。B.光罩表面或Pellicle表面污染:造成重复性显影不良。重复性缺点对产品良率有很大的杀伤力,例如一个曝光区内有八个晶方,若有一个晶方图案有缺失,就会造成产品良率1/8之损失。因此重复性缺点是VLSI的头号杀手
  150 RESISTIVITY 阻值 1. 定义:物理学上定义阻值(Ω,即欧姆)为R=△V/I在物体两截面上通以定电流V,量得电压降△V,则 △V/I即为这物体的阻值。但在半导体工业上,这样地易阻值并无太大实用价值。我们只关心芯片表面薄薄一层“动作区”的阻值。于是另外定义一“薄层阻值”,以四点针测的方法量取△V及I。Rs=△V/I(Ω/□)定义为芯片的阻值。
  151 RESOLUTION 解析力 1. 定义:解析力在IC制程的对准及印刷(Align & Print)过程中站着相当重要的地位,尤其演进到VLSI后,解析力的要求就更高了。它是对光学系统(如对准机、显微镜、望远镜等)好坏的评估标准之一,现今多以法国人雷莱(Rayleigh)所制定的标准遵循之。物面上两光点经光学系统头于成像面上不会模糊到只被看成一点时,物面上两点间之最短距离。若此距离越小,则解析力越大。(通常镜面大者,即NA大者,其解析力也越大)解析力不佳时,例如对准机对焦不清时,就会造成CD控制不良,Metal桥接,Contact瞎窗或开窗过大等。
  152 RETICLE 光罩 为使IC各个线路在芯片上成形(PATTERN),则必须有规范露光及遮光区域(规范曝光成形)的赵子,此称为光罩。
  153 REWORK/SCRAP/WAIVE 修改 /报废/签过 修改:分ADI修改,AEI修改ADI修改:将光阻去除,重新上新光阻,已定义新的或精确的图形。AEI修改:将已沉积或氧化的厚厚或薄层去除,重新沉积或氧化。报废:芯片受污染或流程不合规范上之规定,造成芯片有无良率之可能,则停止流程不继续生产谓之。签过:当芯片流程至某步骤时,发现图形或规格不合于规范内之规定,但其影响不致使芯片达报废之程度,可由工程师签署,继续流程。
  154 RUN IN/OUT 挤进/挤出 1. 定义:对准不良的一种;挤进(Run in):不管是在水平或垂直方向,芯片中央附近对准良好,而两边图案向中央挤进。挤出(Run out):不管是在水平或垂直方向,芯片中央附近对准良好, 而两边图案向中央挤出。
  155 SCRUBBER 刷洗机 1. 在沉积或蚀刻制程之后常会有些微尘落在芯片表面,此种P/D可刷洗去除,避免对良率的伤害。2. 依照膜的性质,及机台的特性不同,通常我们有下列5种不同刷洗方式:- 去离子水冲洗- 毛刷刷洗- 高压水刷洗- 毛刷加高压水刷洗- 芯片双面刷洗
  156 SAD(SOFTWARE DEFECT ANALYSIS) 缺陷分析软件 将每片晶圆及芯片上的缺陷送入计算机中,利用缺陷分析软件,将缺陷分类,一便利统计及分析的工作。目前89%微缩型产品分类如下:SBIT PSG PBTL CLTT OTHTPROW HROW SROW FROW 2ROWNROW OCL1 OCL2 QCL1 QCL2HCL1 HCL2 OTCO WCL1 WCL2YSEL NCOL LCIO BLK1 BLK2BLK3 OTHR APEO RWCL目前HYDRA产品分类如下:SBIT PBCT PBTL CLTT OTHTPRW1 PRW2 PRW3 FROW 2RW12RW2 NRW1 NRW2 OCL1 OCL2QCL1 QCL2 HCL1 HCL2 WCL1WCL2 YSEL NCOL APED RWCLBLK1 BLY2 BLK3 OTHR(以上均为分类时使用之表示名称)
  157 SEM(SCANNING ELECTRON MICROSCOPE) 电子显微镜 EM最常用之运作方式为发射电子束方式(EMISSIVE MODE),电子油灯丝放出,而由5~30KV之电压加速,再经过电磁透镜使电子束聚集照射至试片表面。一般使通过扫描线圈之电流同时通过相对应之阴极射线管偏折电子束,而在萤光幕上产生相似而较大之扫描动作,达到放大之作用。扫描式电子显微镜的解像能介于光学显微镜与穿透式电子显微镜之间,可用于检验固体试片,由于视野纵深长,可显示清晰三度空间像。
  158 SELECTIVITY 选择性 1. 定义:两种材料,分别以相同的酸液或电浆作蚀刻,其两种蚀刻率之比值谓之。例如复晶电浆蚀刻:对复晶之蚀刻率为2000?/min对氧化层之蚀刻率为200 ?/min则复晶对氧化层之选择性:SS=2000?/min/200 ?/min=10选择性越高表示蚀刻特性越好。一般干事实刻选择性较化学湿蚀刻为差,吾人取较高的选择性之目的即在于电浆蚀刻专心蚀刻该蚀刻之氧化层,而不会商道上层光阻或下层氧化层,以确保蚀刻之完整性。
  159 SILICIDE 硅化物 一般称为硅化物(Silicide),指耐火金属(Refratory Metal)之硅化物,如钛(Ti)、钨(W)、钼(Mo)等与元素硅(Si)结合而成之化合物(TiSi2、Wsi2、MoSi2)。硅化物应用在组件之目的,主要为降低金属与硅接口]、闸极或晶体管串联之阻抗,以增加组件之性能。以钛之硅化物为例。
  160 SILICIDE 金属硅化物 1. 定义:Silicide通常指金属硅化物,为金属与硅之化合物。2. 目的:在微电子工业硅晶集成电路中主要用为2-1导体接触(Ohmic Contact)2-2单向能阻接触(Schottky Barrier Contact)2-3低阻闸极(Gate Electrode)2-4组件间通路(Interconnect)在VLSI(超大规模集成电路)时代中,接面深度及接口接触面积分别降至次微米及1~2平方毫米,以往广泛应用为金属接触的Al,由于严重的川入半导体问题,在VLSI中不再适用。再加上其它技术及应用上的需求,金属硅化物在集成电路工业上日亦受到重视。由于集成电路中之金属硅化物限于近贵重(Pt,Pd、Co、Ni、…)及高温金属(Ti、W、Mo、Ta)硅化物。
  161 SILICON 硅 硅-SI(全文SILICON)为自然界元素之一种,意即我们所使用的硅芯片组成元素,再元素周期表中排行14,原子量28.09,以结晶状态存在(重复性单位细胞组成),每一单位细胞为由一个硅原子在中心与其它4个等为硅原子所组成之四面体(称为钻石结构)如图标中心原子以其4个外围共价电子与邻近之原子其原型或其价件之结合。硅元素之电子传导特性介于金属导体与绝缘体材料之间(故称为半导体材料),人类可经由温度之变化、能量之激发及杂质参入后改变其传导特性,再配合了适当的制程步骤,便产生许多重要的电子组件,运用在人类的日常生活中。
  162 SILICON NITRIDE 氯化硅 氮化硅是SixNY的学名。这种材料跟二氧化硅有甚多相似处。氮化硅通常用低压化学气相沉积法或电浆化学气相沉积法所生成。前者所得之薄膜品质较佳,通常作IC隔离氧化技术中的阻隔层,而后者品质较差,但因其沉积时温度甚低可以作IC完成主结构后的保护层。
  163 SMS (SEMICODUCTOR MANUFACTURING SYSTEMS) 半导体制造系统 此SMS – 半导体制造系统为德州仪器公司(TI)为辅助半导体的生产制造而发展出的——计算机软件系统,其主要功能包含有:1) 制程变更控制2) 制程数据搜集与统计图表3) 制程与操作规格制定4) 机台维护追踪5) 生产计划制定6) 线上统计报表7) 在制品操作与追踪8) 自动化系统接口
  164 SOFT WARE, HARD WARE 软件 ,硬件 1. 定义:大略而言,所谓硬件可泛指像PC-BOARD,机台外壳等一些零组件;而软件一般指运用程序,指令一套完整之控制系统,可经由程序、指令之修改而修改,以人为例子,软件就好比脑中之记忆、思想,可控制整个身体各部分之动作,而硬件就好比人的手、足、眼、耳等器官;由以上之比喻,可知道软件、硬件是相辅相成,缺一不可。近来尚有一种介于Software、Hardware之间,称为Firm-Ware,他的功用,,就相当于把软件写入硬件(比如PROM),以加快速度,因此软、硬件间的区分也变得较不明显了。
  165 S.O.G.(SPIN ON GLASS) 旋制氧化硅 旋制氧化硅(Spin on Glass)是利用旋制芯片,将含有硅化物之溶液均匀地平涂与芯片上,在利用加热方式与溶剂驱离,并将固体硅化物硬化程稳定之非晶相氧化硅。其简单流程如下:旋转平涂→加热烧烤→高温硬化(~450℃)旋制氧化硅是应用在组件制造中,金属层间之平坦化(Planization)。以增加层与层之间的结合特性,避免空洞之形成及膜之剥裂。
  166 S.O.J.(SMALL OUTLINE J-LEAD PACKAGE) 缩小型J形脚包装IC 因外脚弯成“J”字形,且外伸长度较一般I.C.为小儿得名。是记忆I.C.的普遍化包装形态,为配合表面粘着技术的高集积度要求而诞生。
  167 SOLVENT 溶剂 1. 两种物质相互溶解成一种均匀的物质时,较少的物质被称为溶质,较多的物质被称为溶剂。例如:堂溶解于水中,变成糖水,则糖为溶质,水为溶剂,缓和的结果称为溶液。2. 溶剂分有机溶剂与无机溶剂两种: 2-1有机溶剂:分子内含有碳原子的称为有机溶剂,例如丙酮 (CH3COCH3)、IPA(CH3CHOHCH3)。2-2无机溶剂:分子内不含有碳原子的称为无机溶剂,例如硫酸(H2SO4),氢氟酸(HF)3. 在FIB内所通称的溶剂,一般是只有机溶液而言。
  168 SPECIFICATION(SPEC) 规范 规范是公司标准化最重要的项目之一,它规定了与生产有关事项的一切细节,包括机台操作、洁净室、设备、保养、材料、工具及配件、品管、可靠性、测试…等等。IC制造流程复杂。唯有把所有事项钜细靡遗的规范清楚并确实遵照规范执行,检讨规范是否合理可行,相关规范是否有冲突,已达自主管理及全员参与标准化之目的。
  169 SPICE PARAMETER SPIC参数 1. 定义:SPICE是一个分析非线性DC、非线性瞬间AC和线性AC行为的电路仿真程序。其由各种不同的半导体组件模式计算之,有DIODES、BJT’S、JFET’S、MOSFET’S等,利用此种模式计算仿真实际半导体电路的工作情形。而使用于这些模型上的计算参数统称「SPICE参数」。目前由于公司使用之模式为HSPICE Level 2,故一般常说之SPICE参数,即指Design Rules所提供之HSPICE Level 2中MOSFET所用到的参数。
  170 S.R.A(SPREADING RESISTENCE ANALYSIS) 展布电阻分析 在下列一些情况,可利用S.R.A.方法来得到其Resisitivity:(1) n on n+ layer, p on p+ layer(2) n on p layer, p on n layer(3) depth profiling(4) lateral profiling(5) very small areas在测量Resistivity的方式有很多,但若要降低校正,则一定要使用到Point-Contact Probe的展布电阻。
  171 SPUTTERING 溅镀 溅镀乃是带能量的离子撞击物体,致使表面的原子飞散出来,附着于基板上形成薄膜之现象。当所加电流为直流时,称为直流溅镀(D.C SPUTTERING):所加电流为射频时,称为射频贱镀(RADIO FREQUENCY SPUTTERING)。基于经济及效率观点,氩气为最常使用之气体。当氩气被快速电子碰撞时产生氩离子,此时电子数目增加并且同时受电场再加速,以便再次进行游离反应,如此不去如同雪崩(AVALANCHE)一样产生辉光放电(GLOW DIS CHARGE),氩气离子受阴极(靶材)吸引,加速碰撞靶材,将表面原子打出而吸附在基本上。由于溅镀有薄膜厚度容易控制、组织均匀、表面相当平滑等优点,因此被电子工业广泛地使用。
  172 SSER(SYSTEM SOFT ERROR RATE TEST) 系统暂时性失效比率测试 Soft Error为所有发挥性组件之共有特性。对DRAM而言,每记忆细胞(Memory Cell)所存电荷(charge-to-sense)存在一刻开关的接面(junction),以空乏(depleted)的状态存在。当该细胞有高能粒子源(e.g. α-particle From molding compound),使所存电荷消失或减少到无法侦测时,该细胞便暂时消失。
  173 STEP COVERAGE 阶梯覆盖 STEP COVERAGE』系冷指芯片上各层次间各项薄膜、沉积材料等,当覆盖、跨越过底下层次时,由于底下层次高低起伏不一及有线条粗细变化,会造成此薄膜、沉积材料在产品部分区域(如高低起伏交界处)覆盖度会变差,此变差的程度,即为『STEP COVERAGE』一般系以厚度变化比表示: STEP COVERAGE =厚度最薄处/厚度 最厚处此比例越接近1越佳,反之越差,正常言均应达50﹪以上。
  174 STEPPER 步进式对准机 1. 定义:Stepper(步进式对准机)系Stepprojection aligner 之简称。Stepper与Project aligner原理类似,只是将每片芯片分为20~60次曝光完成。Stepper使用自动对准,不但迅速、精确,且可使用计算机计算、补偿。对准方式可分为Global、Die by Die、Advanced Global Alignment,此三种方式均可补偿因芯片形变造成之对准不良(如Run in/Run out)。Stepper亦可按缩影比例,分为1X、5X、10X三种。以最常见之5X为例,光罩上一条5u之直线,曝在芯片上,仅1μ而已。
  175 SURFACE STATES 表面状态 1.定义:表面状态是介在Si-SiO2接口的政电荷,也叫做Interface States。形成表面状态的原因,是作氧化步骤时Si会从表面移去而与O2反应。当氧化停止时,有些离子Si会留在靠近接口处。这些为完全键结的Si离子会沿着表面形成一条正电荷QSS。电荷大小决定于下列因素:氧化速度、后续热处理步骤及Crystal Orientation。在{111}表面,良好的氧化步骤下,其表面状态密度约为5×10 10 charges/㎝2(i.e.Qs s=5×1010q)。而对于{100}的表面状态密度约为{111}表面的1/3。
  176 SWR(SPECIAL WORK REQUEST) SWR为特殊工作要求单。生产线为了区划正常流程芯片和工程实验芯片,将工程师依规定申请实验的芯片批称为SWR Lot,通常SWR Lot是用来解决制程问题,或评估新机器、制程而试作的芯片。
  177 TARGET 靶 一般用在金属溅镀(SPUTTERING)也就是以某种材料致造成各种形状,因此『靶』当作金属薄膜溅镀之来源。
  178 TDDB(TIME DEPENDENT DIELECTRIC BREAKDOWN) 介电质层崩贵的时间依存性 利用介电质崩溃时间(Time to Breakdown)TBD与外加电场(电压)的线性模型,作加速测试(Accelerated Test),对产品(介电质)寿命(Life Time)作一估算。TBD α e – β Eox ……….(1)AF = e – β (Eext – Eop) ……(2)Life Time = T-50 * AF …(3)
  179 TECN(TEMPORARY ENGINEERING CHANGE NOTICE) 临时性制程变更通知 随时工程变更通知(ECN)为工程师为了广泛收集资料,或暂时解决制程问题,而做的制程变更,此一临时性的变更将注明有效期限,以利生产作业。
  180 TEOS(TETRAETHYLOR THOSILICATE) 四乙基氧化硅 1. 化学式:Si (OC2 H5)4,与常温下伟业体态。2. 用途:与经化学反应后,可生成一层二氧化硅,在IC里通常被当作绝缘层使用。3. 反应方式:- 高温低压分解反应- 高温加入触某媒分解反应- 电浆促进分解反应
  181 THRESHOLD VILTAGE 临界电压 定义:当我们在MOS晶体管之源极(Source)和汲极(Drain)加一个固定偏压后,再开始调整闸极(Gate)对基质(Substrate)的电压,当闸极电压超过某一个值之后,源极和汲极就会产生电流而导通,则我们就称此时的闸极电压称为临界电压(Threshold Voltage)。NMOS晶体管的临界电压相对于基质为正。PMOS晶体管的临界电压相对于基质为负。一般在制程上我们会影响临界电压的因素主要有二:A闸极氧化层厚度:Gate Oxide越厚,则VT(绝对值)越高。B基质渗杂的浓度:VT值入Dose越高,则VT越高。
  182 THROUGH PUT 产量 1. 定义:Through Put为单位工时之产出量,例如某机器每小时生产100片,则称其Through Put为100片/每小时。如果每天运作21小时,则每天的Through Put为2100片/天。IC工业系许多昂贵且精密的设备投资,故必须充分利用,维持生产的顺畅,发挥其最大的效能。故高的Through Put为我们评估机器设备的一项很重要的因素之一。除了设备上发挥其最大产能外,必须要配合人为的力量:如流程安排、故障排除、…等,亦即必须“人机一体”才能发挥生产的整体效益,达到最高的生产力。
  183 TMP(TI MEMORY PROTOTYPE,TMS-X TI MEMORY STANDARD PRODUCT) TI 记忆产品样品(原型),TI内存标准产品 在TI的产品出货控制(Productor Outgoing Control)中,以Qualification(资格审定)为期里程碑:(1) Qual以前:均为TMP产品。(2) Qual以后:分为TMS-A,TMS-B,TMS-C及Special,其可靠度保证。
  184 TOX 氧化层厚度 TOX系THICKNESS OF OXIDE之缩写,即一般所谓氧化层厚度。通常于氮化硅蚀刻、复晶及接触窗蚀刻完,均需作TOX之测量。藉以确认该层次蚀刻完是否有过蚀刻或蚀刻不足之现象。
  185 TROUBLE SHOOTING 故障排除 1. 定义:在生产过程,因为4M ,即设备、材料、人为、方法等,造成之一切问题而阻碍生产,例如:机器当机、制程异常…等。工程人员解决以上发生的问题,使这些“障碍”消弭于无形谓之Trouble Shooting,故障排除。
  186 UNDERCUT 底切度 1. 定义:所谓“底切度”(Undercut),乃是蚀刻时的专用术语,简单的说,Undercut便是原来所定义出来的图形间偏离度的大小。对于等向性蚀刻(Isotropic Etching)Undercut较大,而对于完全非等向性蚀刻(Full Anisotropic Etching),其Undercut等于零,亦即能忠实地将原图形复制出来。
  187 UNIFORMITY 均匀度 1. 定义:均匀度Uniformity是一种测量值的平均分布。藉以表示芯片内各测量点的数值或是芯片与芯片间其测量值的变化。在IC制程中,常用以表示薄膜厚度,线宽(C.D)在整片芯片内或芯片间的分布。其表示方法如下:如测量芯片内上中下左右与5点数据,5点平均值。X=X1+X2+X3+X4+X5/5均匀度Uniformity=X m a x-X m 1m/2X×100﹪例如测量T0x厚度共五点分布如下:510、525、540、515、520?则均匀度=540-510/2×522(平均值)×100﹪=2.8﹪均匀度越小,表示各点变化越小。亦即表示芯片制程品质较佳,也是制程能力越好的表现
  188 VACUUM 真空 1. 定义:真空系针对大气而言一特定空间内的部分气体被排出,其大气小于一大气压。表示真空的单位相当多,在大气的情况下,通称为一大气压,也可表示为760torr或760mmHg或14.7psi。真空技术中将真空一压力大小分为四个区域:A粗略真空(Rough Vacuum)B中度真空(Medium Vacuum)C高真空(High Vacuum)D超高真空(Ultra- High Vacuum)2. 方法:在不同真空,气体流动的形式与传导性等均有所差异,,简略而言:在粗略真空气体的流动称之为黏滞流(Viscous Flow)。其气体分子间碰撞频繁,且运动具有方向性;在高真空或超高真空范围,气体流动称为分子流(Molecular Flow),其气体分子间碰撞较少,且少于气体与管壁碰撞的次数,气体分子运动为随意方向,不受抽气方向影响。在热导性方面:中度真空之压力范围其与压力成正比关系,粗略真空与高真空区域则无此关系。
  189 VACUUM PUMP 真空帮浦 凡能将特定空间内的气体去除以减低气体分子数目,造成某种程度只真空状态的机件,通称为真空帮浦。目前生产机台所使用的真空帮浦可分为抽吸式:旋片帮浦(ROTARY PUMP)、鲁是帮浦(ROOTS PUMP),活塞帮浦(PISTON PUMP)、扩散帮浦(DIFFUSION PUMP)。储气式:冷冻帮浦(CRYO PUMP)、离子帮浦(ION PUMP)。
  190 VERNIER 游标尺 1. 定义:用来读取曝光制程中,本层次与前面层次之对准情形是否良好。目前公司所用之游标尺,在读取之分辨率上可分为每格0.2μ及每格0.1μ者。目前只用在步进式对准机中以得到更佳之分辨率。游标尺之设计因人而异,因此在读取时是否方便、容易,端赖设计上之是否周详。
  191 VIA CONTACT 连接窗 『VIA CONTACT』连接窗,系指相同两层材质之间,如POLY(一)与POLY(二)之间,METAL(一)与METAL(二)之间欲直接相联系时,必须在制程上挖出下层(如POLY(一),METAL(一)),窗来,让上层(如POLY(二),METAL(二)能与下层相通)此窗即为连接窗,一般此做法系为节省晶方面积而设计,但因多了一层的关系,制程上会较复杂,我们DOUBLE METAL或DOUBLE POLY 制程即为一例。
  192 VISCOSITY 黏度 『粘度』一词专用于液体,意指当液体接受切应力时(指作用力方向与液体表面不垂直),液体就会产生变形,所以便定义『粘度』来表示液体产生变形程度的大小。粘度是可以调整的,因为液体受切应力而变形是巨观行为的表现,所以在液体完全兼容前提下,可以加入不同粘度的溶剂来调整粘度。
  193 VLF(VERTICAL LAMINAR FLOW) 垂直流层 在流体的流动状态中,可分为层流(Laminar Flow)及齐流(Turbulent Flow)两种。一名叫Osborne Reynold的人利用一简易的实验将其界定,而雷诺数即为层流及齐流的界定值。一般流体流速较快者其流线(streamiline)分子易受干扰,且雷诺数大易形成齐流 ,反之,则易形成层流。(雷诺数 = 惯性力 / 粘滞力)在无尘室芯片制造场所内,其气流为稳定之层流,如此可将人员、机台等所产生之微尘带离。若为齐流,则微尘将滞留不去。因此在无尘室内机台的布置及人员的动作都以尽量不使空气流线产生齐流为原则。
  194 WELL/TANK 井区 WELL即井区。在IC中的组件MOSFET(即金氧半场效晶体管),常作两型(N及P)相接的方式,即CMOS技术。此时为区分这两种不同型的MOSFET,就须先扩散两个不同型的区域于IC中。此种区域即称为WELL区。
  195 WLRC(WAFER LEVEL RELIABILITY CONTROL) 晶圆层次(厂内)可靠度控制 WLRC是取代“End-of-line-reliability”的一种全新的可靠度监控方式,主要分为物性(In-line Scrap),如厚度、材料、应力、接触窗覆盖率;另有电性(成品Scrap),如TDDB,CHC EM Stress等。兹比较如下: Charactoristic 1. 回馈(Feedback)时间2. 真正原因的回馈性3. Wafer Level Qual与Design-in-Reliability的应用4. 产品报废5. 加速系数及准确性WLRC1. 快,使产品损失减到最低2. 良好,能马上找出问题所在3. 卓越4. 较多5. 高,较差End-OF-Line-Reliability1. 慢,出问题时已大量产品被影响2. 困难,因包装后产品的Data Association(资料联结性)已破坏,不易找出真正原因。3. 困难4. 少5. 低,高
  196 WLQC(WAFER LEVEL QUALITY CONTROL ) 晶圆层次(厂内)品质控制 先定义:客户眼中的品质:产品有问题,就是品质不良我们眼中的品质:出厂前看得到,量得到的问题,才是品质(Quality)我们眼中的可靠度:出厂前看不到,又不能直接量得到的问题,在客户手中欲发生问题,是可靠度(Reliability)所以,WLQC是针对一切厂内可直接测之(time-zero measurement),对品质有所影响的参数进行筛选及分类。对外,使出货品质分布集中、均匀(假设某可靠特性不变)。对内,回馈厂内,增进制造品质。
  197 X-RAY LITHOGRAPHY X光微影技术 1. 定义:在次微米微影成像技术中,X-射线微影技术备受瞩目。由于X-射线之波长甚短(约4~10?),故可得甚佳之解析力,同时亦无干涉及绕射现象,因此可制作次微米线宽之IC图案。这种以X-射线为曝光光源之微影技术目前仍在开发中。由于X-射线穿透力甚强,,其光照图案不再是铬膜,而是一般大都为“金”。
  198 YELLOW ROOM 黄光室 黄光室(Yellow Room)就是所有光源(照明用)均为黄色光波波长者之区域。由于IC晶方内之图案均有赖光阻剂(Photo resist)覆盖在芯片上,再经曝光,显影而定型;而此光阻剂遇光线照射,尤其是紫外线(UV)即有曝光之效果,因此在显影完毕以前之生产,均宜远离此类光源。黄光之光波较长,使光阻剂曝光之效果很低,因此乃作为显影前之照明光源。
-----------------

转自天涯社区:http://cache.tianya.cn/publicforum/Content/no20/1/70872.shtml

1

点赞

刚表态过的朋友 (1 人)

全部作者的其他最新日志

发表评论 评论 (3 个评论)

回复 incredible 2007-4-20 16:41
还不错
回复 dengbiao 2020-4-20 10:09
非常不错!
回复 hwynhh@163.com 2022-6-21 10:42
感觉你的MTBF结论说反了

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 6

    粉丝
  • 0

    好友
  • 6

    获赞
  • 27

    评论
  • 142

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-17 17:01 , Processed in 0.027350 second(s), 16 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部