dennis_zhang的个人空间 https://blog.eetop.cn/853508 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

verilog system task $stop and $finish

已有 2721 次阅读| 2011-7-25 21:37 |个人分类:HDL

天气: 热
心情: 平静
$stop: put the simulation into a halt mode, pass control to user.

$finish: causes the simulator to exit and pass control back to the host OS.

parameter:
0: prints nothing
1:prints simulation time and location
2:pint simulation time and location, statistics about the memory and cpu time used in the simulation. 

点赞

全部作者的其他最新日志

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 0

    评论
  • 255

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 07:17 , Processed in 0.024474 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部