在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 时序约束分析
ljc24156313 2013-11-22 09:12
首先介绍两个概念,即时钟源延迟(clock source delay)、时钟网络延迟(clock network delay)。下图所示表明了两个概念的含义,源延迟表示为整个设计的时钟源到该模块的时钟端口的延迟,而时钟网络延迟则是该模块的时钟端口到内部寄存器的时钟端的延迟。 个人分类: 约束|766 次阅读|0 个评论
分享 建立时间与保持时间
ljc24156313 2013-3-19 12:44
时钟 是整个电路最重要、最特殊的信号,系统内大部分器件的动作都是在时钟的跳变沿上进行 , 这就要求时钟信号时延差要非常小 , 否则就可能造成时序逻辑状态出错;因而明确 FPGA 设计中决定系统时钟的因素,尽量较小时钟的延时对保证设计的稳定性有非常重要的意义。    ...
个人分类: 约束|1151 次阅读|1 个评论
分享 quartus2的sdc约束
ljc24156313 2011-10-17 13:25
一 从输入端口到寄存器: 约束名称: input delay 约束条件: Tco (外部芯片的输出延时)、 Tpd (电路板上的延时)、 Tsu ( FPGA 的寄存器建立时间)、 Tclk (时钟延迟) 约束计算公式: input delay = Tco + Tpd + Tsu – Tclk 例如:系统时钟 100MHz ,电路板上最大延迟 2ns ...
个人分类: 约束|7508 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 00:51 , Processed in 0.015357 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部