ygb_tju的个人空间 https://blog.eetop.cn/firestone [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 (转帖)电荷泵的基本原理
2013-5-3 09:25
本文学习处: http://www.dzsc.com/data/html/2009-6-17/76979.html 电容是存储电荷或电能,并按预先确定的速度和时间放电的器件。如果一个理想的电容以理想的电压源%进行充电,如图1(a)所示,则电容将依据Dirac电流脉冲函数立即存储电荷,如图1(b)所示。存储的`总电荷数量按下式计算 ...
6466 次阅读|1 个评论 热度 7
分享 Windows 添加外部依赖项的思考
2013-2-1 09:07
天气 : 晴朗 心情 : 高兴 最近一段时间刚刚到公司报道! 自己慢慢的要从硬件设计向软件设计方向转! 研究生阶段应用C++编程很少,更是很少接触到Windows编程思想。 浅尝辄止的工作做了很多,真正的思考留下给自己的很少。 工作之后需要的是积淀和积累! 博客将持续更新! 之前在VS2010下也添加过外部依赖项 ...
个人分类: Windows编程|5994 次阅读|0 个评论
分享 DC实验constrain文件的设置
2012-7-25 12:29
约束文件指定设计的时序和面积约束 #去除所有已有的约束 reset_design #创建时钟,周期3.0ns(频率为333MHz),时钟端口clk create_clock -p 3.0 #指定DC综合时不要处理clk和rst_n网络,因为clk和rst_n属于全局网络,在后续步骤中有专门工具综合时钟树网络 set_dont_touch_network #时钟源延迟是实际的时钟源到 ...
个人分类: DC|3400 次阅读|0 个评论 热度 1
分享 DC实验.synopsys_dc.setup文件的设置
2012-7-24 21:39
在启动DC对源文件进行综合之前,必须设置synopsys_dc.setup文件,制定相关的库 synopsys_dc.setup在DC启动时会自动调用 synopsys_dc.setup启动顺序在前面的Blog中已经说明,此处不再赘述 set company "TJIC" set designer "Lightman Yuan" set search_path set target_library {gsmc18_d0_ss.db} set link_lib ...
个人分类: DC|8025 次阅读|0 个评论 热度 1
分享 综合全过程及使用的命令(1)
2012-7-11 10:23
DC 的初始化文件 .synopsys.dc.setup ,该文件是隐藏文件,需要用 ls –a 显示 1、 综合过程中需要设置和使用的库以及 DC 的初始化文件 2、 读取行为级描述或网表并进行链接 DC 支持多种硬件描述格式, .db .v .vhd 等 ...
个人分类: DC|8692 次阅读|1 个评论
分享 逻辑综合工具DC及操作流程(3)
2012-7-10 10:34
前两天参加婚礼,好几天没有更新啦! 抓紧时间补上! Set_operating_conditions 设置工作条件命令 工作条件包括三方面 — 温度、电压、工艺 Foundry 提供的工艺库里,他的各个单元 ...
个人分类: DC|8341 次阅读|1 个评论 热度 6
分享 逻辑综合工具DC及操作流程(2)
2012-7-4 10:28
启动文件 用来指定综合工具所需要的一些初始化信息 DC使用名为“.synopsys_dc.setup”的启动文件, 启动时,DC会以下述顺序搜索并装载 相应目录下的启动文件: 1)DC的安装目录 2)用户的home目录 3)当前启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 searc ...
个人分类: DC|5907 次阅读|3 个评论 热度 1
分享 逻辑综合工具DC及操作流程(1)
2012-7-3 19:08
1、逻辑综合(Logic Synthesis) 将寄存器传输级(RTL,Register Transfer Level)的HDL代码转换为与工艺相关的门级网表(Gate Level Netlist)的过程 DC中的八种设计实体 设计(Design):一种能完成一定逻辑功能的电路。设计中可以包含下一层子设计 单元(Cell):设计中包含的子设计的实例 参考(Ref ...
个人分类: DC|6190 次阅读|1 个评论 热度 1
分享 dc初体验
2012-7-3 15:45
安装VCS之后,在装DC果然顺手很多啊! 不过关于VCS的安装,还没有补给大家!尽快的! 不过现在很想和Synopsys的其它软件安装一个补给大家! 安装版本DC2009 安装环境RED HAT5.0 补充综合介绍 综合 : 前端设计 行为描述的电路、 RTL 级的 ...
个人分类: DC|4034 次阅读|0 个评论

本页有 1 篇日志因作者的隐私设置或未通过审核而隐藏

12下一页
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 3

    粉丝
  • 0

    好友
  • 14

    获赞
  • 8

    评论
  • 607

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 09:56 , Processed in 0.016448 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部