yhzhangstrive的个人空间 https://blog.eetop.cn/280659 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 I2C 源码
2013-5-1 11:00
文件: i2c_src.rar
个人分类: 转载|889 次阅读|0 个评论
分享 地震要活命必备知识 —【活命三角区】
2013-4-21 20:48
一定要知道的 地震活命三角区 文件: 地震活命三角区.pdf
个人分类: 生活杂谈|1066 次阅读|0 个评论
分享 【转】FPGA学习笔记6-Quartus II中的TCL脚本
2013-4-16 22:21
议程 -TCL脚本在Quartus II中 -创建一个工程 -编译工程 -查看报告数据 -时序分析 TCL脚本的优势 -顾客分析 只提取你所需要的信息 -自动设置 在GUI界面中节省手动设置的步骤 其他的EDA设计软件的接口 -可重用性 能够更简单的管理维护以及获得相应文档 Quartus II支持TCL -Quartus II软件提 ...
个人分类: 转载|5947 次阅读|0 个评论
分享 【转】FPGA中常犯设计错误列表
2013-4-15 23:29
这是一个在设计中常犯的错误列表,这些错误常使得你的设计不可靠或速度较慢,为了提高你的设计性能和提高速度的可靠性,你必须确定你的设计通过所有的这些检查。 可靠性 **为时钟信号选用全局时钟缓冲器BUFG • 不选用全局时钟缓冲器的时钟将会引入偏差 。 **只用一个时钟沿来寄存数据 • ...
个人分类: 转载|1342 次阅读|0 个评论
分享 【转】modelsim使用命令
2013-4-15 20:58
下面是我们的Tcl仿真步骤: 启动ModelSim SE, 首先看到在在ModelSim SE右边的窗口有ModelSim 这样的提示符。在提示符后,顺序运行以下命令: vlib work 该命令的作用是在该目录下建立一个work目录,请注意不要用操作系统来新建一个work的文件夹,因为用操作系统建立的work文件夹并没有ModelSim SE ...
个人分类: 转载|1235 次阅读|0 个评论
分享 在Modelsim中使用脚本进行仿真
2013-4-15 20:34
在对FPGA的设计进行仿真的过程中,调用脚本进行仿真比直接使用GUI更方便。 在使用之前,我们需要将XilinxISE仿真库文件加载至Modelsim中,这里使用ISE自带的工具 Simulation Library Compilation Wizard 进行加载,其加载过程如下: 1、找到开始菜单-程序-Xilinx ISE Design Suite 11 - ISE ...
个人分类: FPGA|5960 次阅读|0 个评论
分享 信号完整性学习笔记【三】—— 传输线的阻抗
2013-4-13 23:34
从传输线一端看进去的阻抗是随时间而变化的。 特性阻抗:在信号往返时间内,传输线前端的阻抗就是传输线的特性阻抗。它是描述由几何结构和材料决定的传输线特征的一个物理量,它等于信号沿均匀传输线传播时所受到的瞬态阻抗。 瞬态阻抗:信号每一步受到的阻抗称为传输线的瞬态阻抗,取决于信号的速度和单位长度的 ...
个人分类: 信号完整性|1420 次阅读|1 个评论
分享 DDR3 Data Exchange Solution on Zynq7000
2013-4-12 20:49
实验中通过Zynq7000 PL采集ADC数据,处理后经PS HP0 - DDR3 Controller 存储至DDR3,解决方案有两种: 方案一:PL端采集ADC的数据直接经PS HP0 - DDR3 Controller 存储至DDR3,但是测试的时候发现数据读写都有问题。为了验证此问题,做如下测试: 首先确保PS读写通道工作正常,再通过PL写,PS读,读回来的 ...
个人分类: FPGA|5311 次阅读|0 个评论

本页有 2 篇日志因作者的隐私设置或未通过审核而隐藏

123下一页
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 3

    粉丝
  • 0

    好友
  • 4

    获赞
  • 6

    评论
  • 2422

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 09:28 , Processed in 0.031536 second(s), 11 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部