yhzhangstrive的个人空间 https://blog.eetop.cn/280659 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

在Modelsim中使用脚本进行仿真

已有 5975 次阅读| 2013-4-15 20:34 |个人分类:FPGA

在对FPGA的设计进行仿真的过程中,调用脚本进行仿真比直接使用GUI更方便。在使用之前,我们需要将Xilinx ISE仿真库文件加载至Modelsim中,这里使用ISE自带的工具 Simulation Library Compilation Wizard 进行加载,其加载过程如下:

1、找到开始菜单->程序->Xilinx ISE Design Suite 11 -> ISE -> Accessories -> Simulation Library Compilation Wizard.

image

2、选定ModelSim的版本,以及指定ModelSim的安装路径。

image

3、选择Both VHDL and Verilog。

image

4、选择支持哪些系列的芯片,看自己需要增减。

image

5、默认选全上即可。

image

6、指定编译完后的库存放位置,这里作者在modelsim安装目录下新建了xilinx_lib的文件夹,并指定到这里。(注意不要指向带空格的路径)

image

7、运行 Lauch Compile Process,即开始编译库文件,需要几十分钟。编译完成后应该在xilinx_lib目录下多出如下文件夹:

clip_image014

8、右键打开modelsim目录下的modelsim.ini文件,先将其“只读”属性去掉。然后用记事本打开。在[Library]下面添加如下代码,即之前编译好的Xilinx库的路径。

unimacro = D:/softwares/modelsim/xilinx_lib/unimacro

unimacro_ver = D:/softwares/modelsim/xilinx_lib/unimacro_ver

unisim = D:/softwares/modelsim/xilinx_lib/unisim

unisims_ver = D:/softwares/modelsim/xilinx_lib/unisims_ver

secureip = D:\softwares\modelsim\xilinx_lib/secureip

xilinxcorelib = D:/softwares/modelsim/xilinx_lib/xilinxcorelib

xilinxcorelib_ver = D:/softwares/modelsim/xilinx_lib/xilinxcorelib_ver

simprim = D:/softwares/modelsim/xilinx_lib/simprim

simprims_ver = D:/softwares/modelsim/xilinx_lib/simprims_ver

clip_image016

9、再次打开ModelSim,即可以看到Xilinx的库已经默认出现在了库列表里。以后仿真Xilinx的IP核时,就不用每次都添加库了。

clip_image018

这样就把Xilinx仿真库加载到了Modelsim中,下面编写脚本进行仿真,这里附上常用的脚本命令,以供参考:

文件名:simulation.do

/*******************************************************************************************

#建立work工作目录;

vlib work


#编译verilog源代码

vlog ../src/top_module.v

vlog ../src/sub_module.v


#编译IPCORE

vlog ../core/ip_core.v


#编译测试激励顶层文件

vlog ../sim/tb.v


#编译本地库

#这部分是Xilinx调用方法,对于Altera需要更改

vlog C:/Xilinx/12.3/ISE_DS/ISE/verilog/src/glbl.v


#加载激励以及仿真库

vsim -novopt -t 1ps -L xilinxcorelib_ver -L unisims_ver -L unimacro_ver -L secureip -lib work tb glbl


#加载波形, *符号表示所有的信号,类似于通配符

add wave /*

add wave/dut/sub_module_inst/*


#运行加上时间与单位

run 100us

/*******************************************************************************************


运行仿真:do simulation.do

回车即可


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 3

    粉丝
  • 0

    好友
  • 4

    获赞
  • 6

    评论
  • 2422

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-12 05:39 , Processed in 0.027257 second(s), 15 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部