在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 CONV CONV
hanjingyu2008 2019-2-28 19:50
//CONV build in a 3*3 convolution core //for 6*6 input data module CONV( input wire reset, input wire clk, input wire CONV_start, output reg CONV_finish, input wire signed CONV_iData, output reg signed CONV_oData ); reg signed CONV_core ; ...
511 次阅读|0 个评论
分享 卷积计算
hanjingyu2008 2019-2-28 19:25
卷积计算 目录 1. 问题分析 2. 工作框图 3. 工作方式 4. 数据选取 5. 文件说明 6. 端口说明 7. 工作说明 8. 仿真说明 9. 仿真结果 1) 波形 2) Transcript 10. Matlab 验证 1. 问题分析 构造一个 3*3 的卷积核,并利用该 ...
1031 次阅读|0 个评论 热度 3
分享 AHBAPB wave.do
hanjingyu2008 2019-2-28 19:24
onerror {resume} quietly WaveActivateNextPane {} 0 add wave -noupdate /TOP_TB/DUT_TOP/HADDR0 add wave -noupdate /TOP_TB/DUT_TOP/HADDR1 add wave -noupdate /TOP_TB/DUT_TOP/HADDR2 add wave -noupdate /TOP_TB/DUT_TOP/HADDR3 add wave -noupdate /TOP_TB/DUT_TOP/HBYTEN0 add wave -noupdate /TOP_TB/DUT ...
403 次阅读|0 个评论
分享 AHBAPB TOP_TB
hanjingyu2008 2019-2-28 19:23
// TOP module // define BRIDGE ARBITOR DECODER SLAVE `timescale 1us/1us module TOP_TB( ); reg RESETn; reg CLK; // TEST reg TStart; reg TENx; reg TWRITEn; reg HBYTEN0; reg HBYTEN1; reg HBYTEN2; reg& ...
402 次阅读|0 个评论
分享 AHBAPB TOP
hanjingyu2008 2019-2-28 19:23
// TOP module // define BRIDGE ARBITOR DECODER SLAVE module TOP( input wire RESETn, input wire CLK, // TEST input wire TStart, input wire TENx, input wire TWRITEn, input wire HBYTEN0, input wire HBYTEN1, input wire HBYTEN2, ...
442 次阅读|0 个评论
分享 AHBAPB Decoder
hanjingyu2008 2019-2-28 19:22
module Decoder #(parameter width = 32)( input wire DAT0, input wire DAT1, input wire DAT2, input wire DAT3, output reg DATo, input wire MASTER ); always @(*) begin case(MASTER) 4'h0: DATo = DAT0; 4'h1:&nbs ...
554 次阅读|0 个评论
分享 AHBAPB APB_Slave
hanjingyu2008 2019-2-28 19:21
module APB_Slave( input wire PRESETn, input wire PCLK, input wire PSELx, input wire PENABLE, input wire PWRITE, input wire PADDR, input wire PWDATA, output reg PRDATA ); reg Slave_Data ; /* S0: 0x0000_0000 ~ 0x0000_00ff; S1: 0x0000_0100 ~ 0x0000_0 ...
457 次阅读|0 个评论
分享 AHBAPB APB_Bridge
hanjingyu2008 2019-2-28 19:21
`define IDLE 2'b00 `define SETUP 2'b01 `define ENABLE 2'b10 module APB_Bridge( input wire PRESETn, input wire PCLK, // AHB input wire HEN, input wire HRWN, input wire HBYTEN, input wire HADDR, input wire HWDATA, output reg HRDATA, output reg HREADY ...
1026 次阅读|0 个评论
分享 AHBAPB AHB_Master
hanjingyu2008 2019-2-28 19:19
module AHB_Master( input wire HRESETn, input wire HCLK, input wire TStart, input wire TEN, input wire TWRITEn, output reg HBUSREQ, input wire HGRANT, input wire HKGrant, output reg HEN, output reg HRWN, input wire HREADY, input wire HACK ); reg AHB_Load; always @(po ...
621 次阅读|0 个评论
分享 AHBAPB AHB_Arbiter
hanjingyu2008 2019-2-28 19:16
module AHB_Arbiter( input wire HRESETn, input wire HCLK, input wire HBUSREQx, output reg HGRANTx, input wire HADDR, input wire HREADY, input wire HKGrant, output reg HMASTER ); reg State_A; reg REQi; reg GRANTo; reg GRANT_nx; // roll the Input Re ...
1009 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-2 08:42 , Processed in 0.011364 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部