hanjingyu2008的个人空间 https://blog.eetop.cn/219089 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

AHBAPB AHB_Master

已有 623 次阅读| 2019-2-28 19:19 |系统分类:芯片设计


module AHB_Master(
input wire HRESETn,
input wire HCLK, 
input wire TStart,
input wire TEN,
input wire TWRITEn,

output reg HBUSREQ,
input wire HGRANT,
input wire HKGrant,
output reg HEN,
output reg HRWN,
input wire HREADY,
input wire HACK
);

reg AHB_Load;

always @(posedge HCLK or negedge HRESETn)
begin
  if(!HRESETn)
  begin
    HBUSREQ <= 1'b0;
    HEN     <= 1'b0;
    HRWN    <= 1'b1;
    //BYTEN   <= 2'h3; 
    //ADDR    <= 32'h00000000; 
    //WDATA   <= 32'h00000000; 
      
    AHB_Load<= 1'b0;
  end
  else
  begin
    if(TStart & TEN)
    begin
      HBUSREQ <= 1'b1;
      $display("%m Grant Waiting...");
    end
    if(HGRANT)
    begin
      if(HACK)
      begin
        AHB_Load<= 1'b0;
        HBUSREQ <= 1'b0; 
        HEN     <= 1'b0;
        HRWN    <= 1'b1;
        //ADDR    <= 32'h00000000; 
        //BYTEN   <= 2'h3;
        //WDATA   <= 32'h00000000;       
      end
      else if(HREADY & !AHB_Load)
      begin
        $display("%m Grant Get!");
        AHB_Load<= 1'b1;
        HEN     <= 1'b1;
        HRWN    <= TWRITEn;
        //ADDR    <= TADDR;
        //BYTEN   <= TBYTEN;
        //WDATA   <= TWDATA;     
      end
    end // HGRANT  
  end // CLK     
end
  
always @(posedge HCLK)  if(HGRANT & HKGrant) $display("%m Grant Kill");


endmodule  


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 6

    粉丝
  • 0

    好友
  • 1

    获赞
  • 3

    评论
  • 3128

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-16 03:12 , Processed in 0.014432 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部