hanjingyu2008的个人空间 https://blog.eetop.cn/219089 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

AHBAPB AHB_Arbiter

已有 1028 次阅读| 2019-2-28 19:16 |系统分类:芯片设计

module AHB_Arbiter(
input wire HRESETn,
input wire HCLK,
  
input wire [3:0] HBUSREQx,
output reg [3:0] HGRANTx,

input wire [31:0] HADDR,
input wire HREADY,
input wire HKGrant,
output reg [3:0] HMASTER
);

reg [1:0] State_A;
reg [3:0] REQi;
reg [3:0] GRANTo;
reg [3:0] GRANT_nx;

// roll the Input Request , and roll back for Output Grant next state
always @(*)
begin
  case (State_A)    //     Roll right                               Roll left
    2'b00:  begin REQi =  HBUSREQx[3:0];                  GRANT_nx =   GRANTo[3:0];  end
    2'b01:  begin REQi = {HBUSREQx[0]  , HBUSREQx[3:1]};  GRANT_nx =  {GRANTo[2:0], GRANTo[3]};   end
    2'b10:  begin REQi = {HBUSREQx[1:0], HBUSREQx[3:2]};  GRANT_nx =  {GRANTo[1:0], GRANTo[3:2]}; end
    2'b11:  begin REQi = {HBUSREQx[2:0], HBUSREQx[3]};    GRANT_nx =  {GRANTo[0]  , GRANTo[3:1]}; end
    default:begin REQi =  HBUSREQx[3:0];                  GRANT_nx =   GRANTo[3:0];  end
  endcase
end
// fixed arbiter
always @(*)
begin
  casex(REQi)
    4'bxxx1:  GRANTo = 4'b0001;
    4'bxx10:  GRANTo = 4'b0010;
    4'bx100:  GRANTo = 4'b0100;
    4'b1000:  GRANTo = 4'b1000;
    default:  GRANTo = 4'b0000;
  endcase
end

// GRANT the master when there is no grant
assign allowGrant = (HGRANTx == 4'h0);
always @(posedge HCLK or negedge HRESETn)
begin
  if(!HRESETn)    HGRANTx <= 4'h0;
  else            HGRANTx <= HKGrant ? 4'h0 : allowGrant ? GRANT_nx : HGRANTx;
end

// Decode when HREADY
always @(posedge HCLK or negedge HRESETn)
begin
  if(!HRESETn)  HMASTER <= 4'h0;
  else if(HREADY)
    case(HGRANTx)
      4'b0001:  HMASTER <= 4'h0;
      4'b0010:  HMASTER <= 4'h1;
      4'b0100:  HMASTER <= 4'h2;
      4'b1000:  HMASTER <= 4'h3;
      default:  HMASTER <= 4'h0; 
    endcase       
end

// change inside state
always @(posedge HCLK or negedge HRESETn)
begin
  if(!HRESETn)      State_A <= 2'h0;
  else if (HKGrant) State_A <= State_A + 1;  
end

endmodule

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 6

    粉丝
  • 0

    好友
  • 1

    获赞
  • 3

    评论
  • 3128

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-23 00:27 , Processed in 0.014366 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部