出来打篮球的个人空间 https://blog.eetop.cn/zrf [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

Cadence下Virtuoso工具原理图仿真的一般spectre扫描仿真教程

热度 6已有 11377 次阅读| 2021-3-19 17:47 |个人分类:电路设计工具教程|系统分类:芯片设计

此教程面向萌新,刚接触 仿真工具 或者需要 取巧 的朋友,本着节约服务器资源的原则,

首先需要设计者确定:

  1. 研究的变量名:电压值V0,电流值I1,元器件的L3/W4。

  2. 几个变量同时变?步长?举个栗子:两个变量V0和L3一起扫描,V0(-0.1 0 0.2 0.4 0.8)*L3(2u 4u 8u 10u)结果就有5*4=20个结果(较多),需要权衡时间成本。可以先粗略扫描再细致划分步长网格。

  3. 结果处理:仿真数据是基础,数据处理是能力,Cadence内置计算器是很强的辅助工具,要善于利用

——————————————————————————————————————————

作者参考书目是何乐年的《CMOS模拟集成电路设计与仿真实例——基于Cadence ADE(竖版)》,论坛里可以下到(后期会搬运一个链接)

  1. 参数扫描适用的设计

  2. 仿真步骤

  3. 数据处理

——————————————————————————————————————————

  1. 参数扫描适用的设计

    1. 单管gm/id设计,

    2. 放大器关键参数比如miller,

    3. 压控振荡器Vctrl,

    4. 温度,

    5. 电源等

  2. 仿真步骤:以环形差分压控振荡器为例

    1. 原理图设置PIN 或者 设置模拟库的理想源(较麻烦不适合封装成symbol)

      如图中所示Vbias和Vcrtl是输入端口,即变量

      image.png

    2. 打开ADE,设施端口image.png,Vctrl设置 dc 勾选 enable ,DC voltage = Vt

      image.png

    3. 右键 edit 设置变量

      image.png

    4. 手动添加 Vt 初值 0.3

      image.png

      设置结果image.png

    5. 设置Parametric Analysis

      image.png

    6. 设置变量Vt

      image.png

    7. 右键可以添加新变量Row

      image.png

    8. 第一个选项是上下限和步长模式 下一个是自定义格点:格式“0 0.2 0.4 0.6 0.8”空格间隔

      image.png

    9. 步长可选择“自动(有想法的设计不建议)”“线性”“Log步长”“指数型”“倍数型”image.png

  3. 数据处理

    1. 参考如下链接:

      Cadence下spectre仿真数据导出matlab数据处理
    2. http://blog.eetop.cn/blog-1761019-6945175.html

4

点赞

刚表态过的朋友 (4 人)

发表评论 评论 (5 个评论)

回复 大学生视野 2021-5-21 15:15
谢谢你的分享
回复 出来打篮球 2021-6-9 10:28
大学生视野: 谢谢你的分享
   希望有帮助
回复 U201913959 2022-4-21 00:26
想问问这里的初值有什么用  直流扫描可以不设吗
回复 出来打篮球 2022-4-21 08:59
U201913959: 想问问这里的初值有什么用  直流扫描可以不设吗
需要计算工作点啊,初值方便电路的矩阵运算,之后仿真器只需要更换变量
回复 tim_de_topee 2022-9-27 15:12
感谢分享 测建立时间保持时间cq时间应该可以这么做吧

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 4

    关注
  • 17

    粉丝
  • 4

    好友
  • 18

    获赞
  • 28

    评论
  • 1871

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-1 02:24 , Processed in 0.017131 second(s), 16 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部