低空翾翔的个人空间 https://blog.eetop.cn/1459279 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

博客序言

已有 1118 次阅读| 2016-4-11 15:40

       大家好,我叫小飞,今天开通了博客,目的不是专门发表技术文章,或者撰写文章来引导一下大家,我的目的是记录学习过程中的一些易忘知识点,或者在我需要的时候我能够通过我自己的博客来回忆起我学了些什么,所以以后记录有错误的地方,希望大家指正。
        今年大二下了,从大一上接触单片机,进入EE的世界后,敲了几行代码,做了个流水灯后觉得没有单片机没有趣,于是就放弃了。后来,大一上学期快结束了,在一门课要求写大学学习生活规划的时候,我确定了自己要走的道路,那就是数字设计。有许多前辈看到这里,肯定为我感到遗憾,心里想好好的互联网世界不去闯,CS大法那么好,来苦逼的EE干嘛......其实每个人都有自己的兴趣,大一上还没有体验到互联网,而大一下则接触了数字设计,并且慢慢地成为了我的喜好。大一下选了一门FPGA的课,从那时起便进入了数字设计的时间。然而那时候,刚刚学电路分析,模电没有学,数电没有学...还好修了一门VHDL的课。然而毕竟数电没有学,VHDL的语法又比较生疏,上一个学期的VHDL课就只会写个分频模块...倒是凭着些微的C语言基础而自学的Verilog HDL,
让我在FPGA课上勉强待了下去...大一下自学了Verilog,同时入门了FPGA,我的数字设计生活开始了。
        虽然接触到了FPGA,但是学过之后还是一脸懵逼,而Verilog自学的,印象还挺深,但这也只能是纯粹的敲代码,不明白里面的所以然,不能算是数字电路设计....于是趁着大一下暑假,下载数电的教学视频,看来个大概,这回数字电路在心中有了个大概。。。在暑假里,还学习了用modelsim仿真,做了一些简单的实验。至此,我对数字设计有了个大一的了解。
        大二上开始了,学院里面的汽车电子设计竞赛,有做数字幅频滤波器的题目,于是跟队友报名了。这是我第一次用FPGA实战,做了一个FIR滤波器,名次就不说了,现在奖金还没有到手...在大二上这个学期内,还参加了另外一个电子设计竞赛,做了个DDS,只有三等级...现在也没有拿到奖金(我现在特别想骂一句...)。
        现在大二下了,做数电课设的时候,突然发现很多东西都忘记了,之前modelsim的仿真,状态机的相关内容,乒乓操作,MATLAB的一些操作......等等 都忘得七七八八了。看到科协一个大三的学长,把FPGA用得飞起,就敬佩不已......由于Verilog自学记有笔记,忘记的部分可以翻笔记,可是一些EDA工具的操作方法无处可记,随着时间的迁移,也忘得差不多了。
       现在,我想借着这个博客平台,记录自己复习、学习的点滴。下周就是期中考试,时间虽然紧迫,但是数字设计这个兴趣绝不会消失。


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 5

    粉丝
  • 2

    好友
  • 3

    获赞
  • 3

    评论
  • 591

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-9 15:53 , Processed in 0.023872 second(s), 13 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部