tchell的个人空间 https://blog.eetop.cn/1176866 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 bayer pattern
2017-4-14 09:27
1. 硬件上 私以为对CCD的原理有大致了解可以帮助我们对Beyer Pattern有更好的了解。我们知道镜CCD(Charge-coupled Device)通过滤镜将普通的入射光分为红绿蓝RGB三个分量。很容易联想到普通的图片每个像素点都包含RGB三个分量的信息,这很容易误导我们认为CCD也接收了每个像素点的三个通道的信息。然而并不是,原理图如 ...
个人分类: 视频基础知识|1395 次阅读|0 个评论
分享 AXI总线
2017-4-13 16:11
0.绪论 AXI是高级扩展接口,在AMBA3.0中提出,AMBA4.0将其修改升级为AXI4.0。AMBA4.0 包括AXI4.0、AXI4.0-lite、ACE4.0、AXI4.0-stream AXI4.0-lite是AXI的简化版本,ACE4.0 是AXI缓存一致性扩展接口,AXI4.0-stream是ARM公司和Xilinx公司一起提出,主要用在FPGA进行以数据为主导的大量数据的传输应用。 1.简介 1.1 关 ...
个人分类: FPGS|3079 次阅读|1 个评论 热度 1
分享 linux终端快捷键
2016-11-2 10:50
Linux终端使用技巧 今天才发现Linux下的终端有这么多好用的快捷键。 Shift+Ctrl+T:新建标签页 Shift+Ctrl+W:关闭标签页 Ctrl+PageUp:前一标签页 Ctrl+PageDown:后一标签页 Shift+Ctrl+PageUp:标签页左移 Shift+Ctrl+PageDown:标签页右移 Alt+1:切换到标签页1 Alt+2:切换到标签页2 Alt+3:切换到标 ...
个人分类: linux系统|1221 次阅读|0 个评论
分享 linux命令
2016-11-1 16:56
1、chmod chmod -R 777 filename 打开文件的权限
个人分类: linux系统|1069 次阅读|0 个评论
分享 bsub
2016-11-1 16:52
LSF系统介绍 http://scc.ustc.edu.cn/zh_CN/ 中科大超算中心 http://www.sccas.cn/gb/index.html 中科院超算中心 http://www.ssc .NET .cn/ 上涨超算中心 LSF简介 LSF(Load Sharing Facility)是分布资源管理的工具,用来调度、监视、分析联网计算机的负载。 目的 通过集中监控和调度,充分共享计算机的C ...
个人分类: LSF|5216 次阅读|1 个评论 热度 1
分享 VCS仿真命令
2016-11-1 16:33
VCS对verilog模型进行仿真包括两个步骤: 1. 编译verilog文件成为一个可执行的二进制文件命令为:vcs source_files 2. 运行该可执行文件:./simv 类似于NC, 也有单命令行的方式:vcs source_files -R -R 命令表示, 编译后立即执行。 vcs常用的命令选项如下: -cm line|cond|fsm|tgl|obc|path  设定coverag ...
个人分类: 仿真工具|7178 次阅读|0 个评论
分享 serdes
2016-10-31 10:54
理解 SerDes www.blog.sina.com.cn/fpgatalk FPGA发展到今天,SerDes(Serializer-Deserializer)基本上是标配了。从PCI到PCI Express, 从ATA到SATA,从并行ADC接口到JESD204, 从RIO到Serial RIO,…等等,都是在借助SerDes来提高性能。SerDes是非常复杂的数模混合设计,用户手册的内容只是描述了森林里面的一棵小树, ...
个人分类: FPGS|5284 次阅读|0 个评论 热度 1
分享 verilog常用系统函数以及例子
2016-10-25 16:48
1.打开文件   integer file_id;   file_id = $fopen("file_path/file_name"); 用法1.$fopen("文件名"); 用法2.文件句柄=$fopen("文件名"); 注意:用$fopen打开文件会将原来的文件清空,若要读数据就用$readmemb,$readmemh就可以了,这个语句不会清空原来文件中的数据。 用$fopen的情况是为了取 ...
个人分类: verilog仿真验证|3111 次阅读|2 个评论
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 4

    粉丝
  • 1

    好友
  • 1

    获赞
  • 3

    评论
  • 1161

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 15:08 , Processed in 0.033300 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部