easonC的个人空间 https://blog.eetop.cn/1141550 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

[转]verilog实现乘法器

已有 1942 次阅读| 2014-11-23 19:45

verilog实现乘法器

以下介绍两种实现乘法器的方法:串行乘法器和流水线乘法器。


1)串行乘法器
两个N位二进制数x、y的乘积用简单的方法计算就是利用移位操作来实现。

其框图如下:


其状态图如下:


其实现的代码如下:

module multi_CX(clk, x, y, result);
02     
03    input clk;
04    input [7:0] x, y;
05    output [15:0] result;
06 
07    reg [15:0] result;
08 
09    parameter s0 = 0, s1 = 1, s2 = 2;
10    reg [2:0] count = 0;
11    reg [1:0] state = 0;
12    reg [15:0] P, T;
13    reg [7:0] y_reg;

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 0

    评论
  • 2451

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 17:27 , Processed in 0.020587 second(s), 13 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部