ime2224的个人空间 https://blog.eetop.cn/1101565 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

VCS dump wave

已有 4904 次阅读| 2014-8-25 16:06 |个人分类:VCS

VCS 常用脚本及wave文件生成

1)执行vcs的命令

在执行vcs命令时,通常把vcs命令和各个参数写成脚本运行,范例如下:

vcs +v2k -sverilog -debug_pp\

-R  \

-P  /tools/novas/verdi-200804/share/PLI/vcs2006.06/LINUX/novas.tab /tools/novas/verdi-200804/share/PLI/vcs2006.06/LINUX/pli.a \

-l  vcs.log \

-f  filelist.f

其中如果需要产生verdi的波形文件,那么需要用到verdi中的PLI,所以需要有-P 的参数行。

2)生成vcd或者vpd文件

initial begin

$vcdplusfile("filename.vpd/filename.vcd");

$vcdpluson(0,tb);

#runtime

$vcdplusoff;

$finish();

 end

其中vcdpluson行的意思表示把tb及其下面的所有hierarchical信号dumpfilename.vcd这个文件中,vpd文件是synopsysDVE要用到的波形文件。

3)  生成fsdb文件

initial begin

$fsdbDumpfile("filename.fsdb");

$fsdbDumpvars(0,testbench);

$fsdbDumpon;

#runtime

$fsdbDumpoff;

$finish();

end


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 2

    关注
  • 1

    粉丝
  • 0

    好友
  • 2

    获赞
  • 0

    评论
  • 490

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-18 09:16 , Processed in 0.021603 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部