ime2224的个人空间 https://blog.eetop.cn/1101565 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

vcs+verdi 遇到的问题

已有 4443 次阅读| 2015-7-28 15:54 |个人分类:VCS

  

公司IT部门把verdi软件做了升级,但是在编译的过程中出现下面的问题:

“Novas” ERROR: The #2 argument is invalid

“Novas” ERROR: Syntax - $fsdbDumpvars([depth,][instance][,option]);

 

testbench中调用函数如下

$fsdbDumpfile(“test.fsdb”);

$fsdbDumpfile(0,testbench);

 

 

用之前的版本没有遇到过这样的问题,愁了一下午,不知道该怎么解决?

  1. 解决方法一

    首先生产vcd文件,然后通过命令转成fsdb文件.

  $dumpfile(“test.vcd”);

  $dumpvars(0,tb_test);

  vcd2fsdb your_file

这种方法就是先生成vcd文件,vcd文件比较大,而全verdi不识别,所示需要转化成fsdb文件格式,fsdb文件格式是压缩后的波形文件,占用空间比较小

        2、vcs 需要添加 -debug_pp选项

vcs +v2k –sverilog –debug_pp \

-R \

-P /tool/Verdi/share/PLI/VCS/LINUX/novas.tab /tool/Verdi/share/PLI/VCS/LINUX/pli.a \

-f run.f \

-l test.log


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 2

    关注
  • 1

    粉丝
  • 0

    好友
  • 2

    获赞
  • 0

    评论
  • 490

    访问数
关闭

站长推荐 上一条 /2 下一条


小黑屋| 手机版| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-11-22 15:24 , Processed in 0.014679 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部