在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
LINUX| IC| VCS| CVS|
分享 verdi中编译sverilog
ime2224 2016-6-17 10:17
1 ) Verdi 中 compile and dump systemverilog compliant,specify the –sv or –sverilog option in Verdi % Verdi –f run.f –sv 2) if there is a mixture of verilog and systemverilog design files,then compile with: ...
个人分类: VCS|2406 次阅读|0 个评论
分享 vcs+verdi 遇到的问题
ime2224 2015-7-28 15:54
公司 IT 部门把 verdi 软件做了升级,但是在编译的过程中出现下面的问题: “Novas” ERROR: The #2 argument is invalid “Novas” ERROR: Syntax - $fsdbDumpvars( ); testbench 中调用函数如下 ...
个人分类: VCS|4149 次阅读|0 个评论
分享 VCS dump wave
ime2224 2014-8-25 16:06
VCS 常用脚本及wave文件生成 1 )执行 vcs 的命令 在执行 vcs 命令时,通常把 vcs 命令和各个参数写成脚本运行,范例如下: vcs +v2k -sverilog -debug_pp\ -R \ -P /tools/novas/verdi-200804/share/PLI/vcs2006.06/LINUX/novas.tab /tools/novas ...
个人分类: VCS|4913 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-23 01:58 , Processed in 0.011978 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部