在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 汽车 SerDes 赋能下一代车载 ADAS 摄像头的发展
ElectroRent 2024-1-2 10:48
随着市场需求的增长,下一代先进驾驶辅助系统(ADAS)对摄像头和雷达系统分辨率的要求越来越高。这意味着传输数据的网络、交换机和连接器需要具有更高的速度和更大带宽。汽车技术的创新速度日益加快,采用了更先进的汽车技术,在现有电缆连接基础设施上的数据速率超过了 1 Gbps。更大带宽和更短延迟的网络,将为会引入时间 ...
453 次阅读|0 个评论
分享 Parasitic R C与cc In-Design Assistants解决方案
489315174 2024-1-1 11:25
芯 片的物理实现过程中不是所有的走线与器件都不是理想的。金属走线与金属走线有重叠有并行。器件也是周围也会有其他器件,或其他走线。最终都会引入额外的电容。就像在电路上额外多出来一些小的电容。无法避免。同样所有导电材料也会有电阻的特性。所以电路中又会有很多小电阻,也是无法避免的。 这些寄生电阻电容 ...
466 次阅读|2 个评论 热度 2
分享 STA: non-seq check, data-to-data check
jake 2023-12-31 08:56
后端论坛看到几个有关 non-seq check, data-to-data check 的帖子,有感而发,把自己知道的写下来,跟大家分享一下。 1. Non-seq check, data-to-data check 从何而来 一个来源是库文件 .lib 。下面是一个例子。这个 cell 是一个特殊的 DFF ,同时带有复位 (CDN) 和置位 (SDN) 。 红框的意思是以 SDN ...
1372 次阅读|1 个评论 热度 8
分享 VLSI课设||安装记录2:导入gds和网表
cherryjing 2023-12-30 22:31
gds :file - import - stream 网表:file - import - spice,netlist file 为cdl文件所在的位置,reference libraries为所需要的工艺库
332 次阅读|0 个评论
分享 VLSI课设||安装记录1
cherryjing 2023-12-30 21:49
首先按照教程安装VMware以及candence虚拟机 铭磊电子Cadence Virtuoso IC514617共存虚拟机安装教程1129.pdf 其次安装工艺库: 工艺库位置:D:\Download\VLSI\SMIC65nm\pdk\pdk\smic65nm 在虚拟机新建一个文件夹prj/VLSI将工艺库文件复制到该文件夹中 修改工艺库文件的权限chmod命令用法 Linux的文件访 ...
389 次阅读|0 个评论
分享 VCS_1
MARKcz 2023-12-29 15:30
VCS学习(1) 一:如何执行(编译执行) 1:编译链接生成二进制可执行文件   $vcs source_file , 例如 vcs +v2k filename.v -debug_all (+2k指2001版本)   compile_time_options 可选项  A:基本可选项   -Mupdate :增量编译,再 ...
388 次阅读|0 个评论
分享 异步时钟脉冲同步器的设计
MARKcz 2023-12-29 15:18
异步时钟脉冲同步的实现 设计代码: module edge_detect( input sclk_1,//100M input sclk_2,//50M ...
379 次阅读|0 个评论
分享 【Git】Git GUI使用方法(Git可视化客户端使用方法)
MARKcz 2023-12-29 14:54
目录 安装和配置 操作流程 打开现有工程 初始化(Git init) 添加(Git add) &nb ...
548 次阅读|0 个评论
分享 经纬恒润轻量化网络自动化测试系统TestBase_DESKNAT重磅发布!
hirain123 2023-12-29 11:38
经纬恒润 桌面式网络自动化测试系统TestBase_DESKNAT 2.0产品重磅发布!
341 次阅读|0 个评论
分享 serdes
wyw98 2023-12-29 09:26
SerDes专题六:近年(2006-2013)JSSC全部论文汇总 - Analog/RF IC 资料共享 - EETOP 创芯网论坛 (原名:电子顶级开发网) -
390 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-6-25 15:16 , Processed in 0.066196 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部