在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 SyestemVerilog Assertion
speedzheng23 2024-7-4 18:23
bind用法 bind module_name1 module_name2 inst_name(); module_name1:被bind的module module_name2:发起bind的module 应用场景:module_name2中做assertion,去bind rtl内部module;module_name2是interface 关键词property用来区分立即断言和并行断言 交叠交错 |- 非交叠蕴含 |= $ rose:判 ...
24 次阅读|0 个评论
分享 assertion_2
speedzheng23 2024-7-4 18:14
sequence s_state_transition(state, state1, state2); (state == state1 || state == state2) throughout (state == state2) ; endsequence property p_chk_transition2(clk, rst, trig, num, state, state1, state2); @(posedge clk) disable iff (rst) $rose(trig) (st ...
24 次阅读|0 个评论
分享 推出CoolSiC™ 650V G2 MOSFET: IMTA65R040M2H IMTA65R050M2H IMTA65R020M2H IMLT65R0 ..
mjd888 2024-7-4 18:06
概述 CoolSiC™ 650V G2 MOSFET可通过降低能耗来充分利用碳化硅的性能,从而在功率转换过程中实现更高效率。 这些CoolSiC 650V G2 MOSFET适用于各种功率半导体应用,如光伏、能量存储、电动汽车直流充电、电机驱动器和工业电源。配备CoolSiC G2的电动汽车用直流快速充电站与前几代产品相比,功耗降低了10%,同时实现更高 ...
17 次阅读|0 个评论
分享 维度问题4——信息的传递
hebut_wolf 2024-7-4 17:47
人不是唯一会传递信息的物种,但人类的信息确实最复杂的、最抽象的。 信息是对事实和规律的抽象。 作为工程师,我们最喜欢的就是学习原理和规律,因为那意味着抽象之后的简单。原理比规律更进一步,规律是“知其然”,原理是“知其所以然”。(原理 ...
22 次阅读|0 个评论
分享 7月11日云技术研讨会 | 车载信息安全全流程实施方案
hirain123 2024-7-4 15:41
伴随着汽车的智能网联化发展,网络攻击也逐渐渗透漫延至汽车领域,汽车行业面临着重大的信息安全挑战。此外,UNECE WP.29 R155和ISO/SAE 21434等标准也对汽车的信息安全提出了规范化要求,旨在分阶段将产品全生命周期中由信息安全威胁导致的风险降低到合理的范围。汽车信息安全不容忽视! ...
30 次阅读|0 个评论
分享 assertion_1
speedzheng23 2024-7-4 13:44
property p_chk_val(clk, rst, en, cyc, sig, val); @(posedge clk) disable iff (rst) en |- ##cyc sig === val; endproperty property p_chk2_val(clk, rst, en1, cyc1, en2, cyc2, sig, val); @(posedge clk) disable iff (rst) en1 ##cyc1 ...
23 次阅读|0 个评论
分享 【聚焦MIPI】系列之一:解读新一代汽车高速连接标准A-PHY
ElectroRent 2024-7-4 11:36
随着汽车行业的快速发展,车载通信技术也在不断进步。MIPI A-PHY作为一项新兴的连接标准,专为汽车应用设计的高速串行器-解串器(SerDes)物理层接口,正逐渐成为车载通信领域的明星技术。 MIPI A-PHY由MIPI联盟(Mobile Industry Processor Interface)开发,A-PHY标准的设计目的是为汽车中的摄像头、雷达、激光雷达和显 ...
29 次阅读|0 个评论
分享 量子效率测试系统EQY | 探究不同测试方法在电致发光器件表征中的不同率 ...
爱蛙科技 2024-7-4 11:13
电致发光材料(Electroluminescent Materials)被广泛应用于各种显示、照明等领域。在电致发光材料性能表征的过程中,我们通常将待测样品加上恒定的电压,来测试器件的电流、亮度等参数。这些参数很多都受器件电阻的影响,因此准确的获得器件电阻就非常的重要。 在发光器件的测试过程中,分别有两点法和四点法两种 ...
22 次阅读|0 个评论
分享 经纬恒润连续六年荣获PACCAR集团10PPM质量奖!
hirain123 2024-7-3 16:10
近日, 经纬恒润 再次荣获PACCAR集团颁发的10PPM质量奖,这是我司连续六年获得该奖项。这一荣誉充分体现客户对我们2023年度汽车配套产品质量的高度认可,彰显了我们在行业中卓越的品质控制与客户满意度! PACCAR作为世界知名的重卡集团,每年都会向符合要求的供应商 ...
58 次阅读|0 个评论
分享 说说硬件调试中发现的那些低级错误
edadoc2013 2024-7-3 13:58
最近遇到很多debug相关的咨询,曾经我们说过,我们做过的板子越多,遇到问题的概率也越多,很多别人没遇到过的问题,说不定我们早就触过雷,从而类似的问题形成经验总结,就不会再有同样的问题发生。一些问题可能不一定和PCB设计相关,但由于找不到原因到底在哪里,最终需要进行一一排除,所以兜兜转转又回到PCB设计上来 ...
64 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-7-5 00:06 , Processed in 0.048868 second(s), 2 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部