在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 how to abbreviate the word of English
imicman 2016-11-5 21:48
三个原则 1.从单词的首字母开始到第一个非元音字母截至。 //通常缩写字母数为3个,最多4个,最少2个字母 2.首字母+第一个辅音字母(从单词的首字母开始找)+倒数第一个辅音字母(从单词的尾字母倒数) 3.对于单词组,选择每个单词的首字母。 //去掉虚词如:the,of, for ,and, or .from 等 备注:元音字母:a o e i ...
585 次阅读|0 个评论
分享 高级UVM寄存器建模
路科验证 2016-11-5 16:56
高级UVM寄存器建模 对于一个复杂设计,其有效寄存器模型,要能够模拟任意数量的寄存器域操作、副作用以及不同寄存器间的交互作用。 UVM提供了标准的基类库,用户可以借此实现设计者所设想的寄存器行为,但是在UVM所给出的关于寄存器模型的文档里,其中的例子、规则以及一些建议存在有一定的误导性,如果未能仔细分辨的 ...
5473 次阅读|2 个评论 热度 2
分享 VIM 实现tab标签页及分屏,切换命令
luyuan_0922 2016-11-5 15:09
如果使用xshell,那么 alt + 数字 可以切换的是xshell顶部的大的标签页,这个也很方便。 1,在一个窗口中,VIM 的小tab标签页命令: : tabnew [+cmd] 文件 建立对指定文件新的tab :tabc ...
932 次阅读|0 个评论
分享 FPGA个人学习环境
wzq199 2016-11-4 22:10
文本编辑器 个人常用的编辑器有以下几种 1. gvim编辑器 启动设置文件: set shiftwidth = 2 set sts = 2 set tabstop = 2 set expandtab set nobackup set nowritebackup s ...
1560 次阅读|0 个评论
分享 以身卫”道“之Filler---后端学习基础知识心得
mervin_li 2016-11-3 20:18
天气 : 晴朗 心情 : 平静 ”哪里有坑补哪里“ “路见不平,以身填坑” 对,没错!说的就是filler。 在满屏撒完stdcell之后,还剩下一些地方空空如也,这个时候Filler就要躺平天下! Filler Cells的作用和分类 Filler Cells通常用在route之前用来填充没有stdCell的区域,以保证电 ...
5487 次阅读|0 个评论 热度 1
分享 静态时许分析中的setup和hold存在负值的问题
mervin_li 2016-11-3 09:02
天气 : 晴朗 心情 : 平静 What?Setup time is negative value ! “时光倒流 ! 难道,是失传已久的月光宝盒重现江湖?” 回想当时还是菜鸟的时候,感叹岁月蹉跎,现在已经是一名老菜鸟了。 当然,神话应该不会让我在工程设计中遇见。那么,这个负值,一定不是常规意义上的建立 ...
8316 次阅读|7 个评论 热度 4
分享 linux终端快捷键
tchell 2016-11-2 10:50
Linux终端使用技巧 今天才发现Linux下的终端有这么多好用的快捷键。 Shift+Ctrl+T:新建标签页 Shift+Ctrl+W:关闭标签页 Ctrl+PageUp:前一标签页 Ctrl+PageDown:后一标签页 Shift+Ctrl+PageUp:标签页左移 Shift+Ctrl+PageDown:标签页右移 Alt+1:切换到标签页1 Alt+2:切换到标签页2 Alt+3:切换到标 ...
1240 次阅读|0 个评论
分享 从0到1,搭建SOC的testbench (part 2)
small5 2016-11-1 21:45
Testbench顶层文件 我们基于mini-文件列表来做Testbench顶层文件,是为了加速编译速度。 Testbench顶层最主要的是例化DUT的顶层。Emacs用户做集成很容易;我是VI用户,稍微麻烦一些,使用vi的替换功能也可以比较快的集成起来: 把顶层模块的input output inout端口声明部分copy出来,把input-outpput-inout ...
4017 次阅读|0 个评论

本页有 2 篇日志因作者的隐私设置或未通过审核而隐藏

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-23 14:58 , Processed in 0.089405 second(s), 2 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部