在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 分享 NXP iMXRT 系列 RT1050 公交POS移动解决方案
sdafly 2018-9-10 14:30
随着城市现代化步伐的不断加快,多年停滞不前的交通工具支付手段和日益先进的金融支付手段之间的矛盾也逐渐显现。在中国,各大中城市都面临着巨大的交通压力,交通运营方也面临着管理失控,车辆管理与运营管理系统找不到合适解决方案的困境。公交移动支付POS机应运而生,一个设备集成了支付宝、微信等第三方金融平台支 ...
1641 次阅读|0 个评论
分享 分享 NXP iMXRT 系列 RT1050 公交POS移动解决方案
sdafly 2018-9-10 14:29
一、行业背景: 随着城市现代化步伐的不断加快,多年停滞不前的交通工具支付手段和日益先进的金融支付手段之间的矛盾也逐渐显现。在中国,各大中城市都面临着巨大的交通压力,交通运营方也面临着管理失控,车辆管理与运营管理系统找不到合适解决方案的困境。公交移动支付POS机应运而生,一个设备集成了支付宝、微 ...
1675 次阅读|0 个评论
分享 Testbench_Testbench/Build(从Building The Next Level Of Hierarchy开始)
ee_king 2018-9-10 13:46
Building The Next Level Of Hierarchy test 构建过程的最后阶段是使用UVM factory构建下一级别的测试平台层次结构。 这通常意味着构建顶层env,但可能有多个env或者可能存在可以在几个env之间进行选择 ...
752 次阅读|0 个评论
分享 Testbench_Testbench/Build(从Nesting Sub-Component Configuration Objects开始)
ee_king 2018-9-10 10:41
Nesting Sub-Component Configuration Objects 配置对象通过test的UVM组件配置空间传递给子组件。 它们可以使用uvm_config_db :: set method中的path参数单独传递,以控制哪些组件可以访问对象。 但是 ...
869 次阅读|0 个评论
分享 Testbench_Testbench/Build(从Assigning Virtual Interfaces ...开始)
ee_king 2018-9-10 09:18
Assigning Virtual Interfaces From The Configuration Space 在调用UVM run_test()方法之前,应该通过将它们连接到SystemVerilog接口来连接到DUT的顶层I / O边界上的信号,然后应该将每个接口的句柄分配给通过uvm_conf ...
692 次阅读|0 个评论
分享 SKYLAB:满足可穿戴设备健康监测需求的BLE蓝牙模块SKB369
skylab01 2018-9-9 15:04
在健康领域内,从最初的智能手环、计步器到至今的智能手表、运动监测、智能眼镜、心率血氧检测仪、智能助听器等等,可穿戴设备产品的形式已经涵盖了几乎所有可能存在的形式。同时,可穿戴设备的健康监测功能也从起初的计步、血压监测扩展到血糖、心率、脉搏、体重计算、助听器等诸多范畴。作为知名无线模块研发厂商的SKYLA ...
624 次阅读|0 个评论
分享 <数字后端流程>后端PR的 timing correlation经验分享2
mervin_li 2018-9-8 20:14
接上。。。 CTS到Route的差异主要体现在signal的绕线和rc 抽取模型上。绕线之后相比于cts来说走线可能会出现detour的情况,以及SI的影响,因此首先从这两方面入手进行调整。在trial run阶段如果看到绕线之后的SI比较严重,就需要在cts阶段加上shield net来预防si问题,自然也要在place阶段做好margin。而这对detour的问题 ...
7276 次阅读|2 个评论 热度 6

本页有 3 篇日志因作者的隐私设置或未通过审核而隐藏

关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-7-3 23:20 , Processed in 0.288135 second(s), 2 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部