always @(posedge clk or negedge rst_n) begin if (~rst_n) data = 3'b0; else * &nb ...
从功能上来说,时钟分频电路主要分为整数分频和小数分频,而整数分频又分为奇数分频和偶数分频。小数分频笔者还没有了解透,所以这次在这里不做说明,等笔者后面熟练掌握了小数分频再补上。 下面主要讲整数分频( 这里讲的整数分频的占空比都是50% ) ...
多摄像头 3D 环视系统已经在许多乘用车使用。对这类系统的需求,在特种车辆上也开始增长,例如建筑机械、收割机、公交车或者军用车辆。相比于个人汽车,这些车辆的产量较少,并且对光学系统有较高的定制化需求。 在该博文中,我们将介绍一种基于 NXP ® i.MX 6 SoC 的 Toradex Apalis iMX6 计算机 ...
在多时钟域设计时,coding 结束后,需要做CDC(Clock Domain Cross)检查。一般使用Mentor Graphics推出的Questa-cdc工具或者Spyglass cdc。目前使用的Questa-cdc,version10.0f linux of may 2013下面记录一些用法。 【1】一个design被设置为blackbox后,还需要将该design 端口设置相应的同步的时钟。 例如: ...
一年的dft验证工作,好像回到了原点,坚持…
共享经济的万物互联为人们提供了太多的便利,同时也引爆了诸多问题。物联网时代的共享经济,迫切需要 定位导航模块 的支持。 共享雨伞 共享产物在应用和管理过程中都需要对目标物体进行定位,为了方便管理,也为了方便我们确定目标物体的具体位置。以共享单车为例,摩拜在研发生产环节就考虑到了定位需求,实际投放市 ...
1. COVER_UART_TX_START_BUSY_1: assert property (@(evt_uart_tx_start_dly) (dut_uart_busy==1)) \ else $display ( " ASSERTION FAILED" ); 针对这种情况,一次成功之后后面不再显示 表示成功的上升箭头。 2.verdi针对1的这种情况,如果失败的 ...
在过去的三年里,使用了很多不同环境下的不同仿真工具。现一一罗列,比较记忆。主流的仿真工具是EDA三巨头发布的分别为Cadencen的irun,Synopsys的vcs和Mentor Graphic的modelsim或questasim。 【1】irun替代了原来的ncverilog,而且支持多种语言编译,做大大统一。默认情况下,irun语法错误的容忍度太高,好多语法问题不能 ...
发现onenote很好用啊。。打算把学习笔记和一些知识性的东西转onenote啊
一直以来都觉得自己缺乏管理,缺乏管理概念,经验。onenote是个不错的软件,很好,想要学习一下。 1、收集资料 。onenote是收集资料的利器,你可以将所有自己觉得有用的信息都往里面丢,而且不用 点保存 。当你在互联网上看到一篇资料,使用IE浏览器将资料发送到onenote,它会自动把网址也附上,方便我们日后查看 ...
jiang_shuguo
蓬蒿草
feilongt#2021
涛意隆
爱蛙科技
limubai
hirain123
rochesterlmg
ElectroRent
speedzheng23
京存高性能存储
jwenag
hebut_wolf
小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网 ( 京ICP备:10050787号 京公网安备:11010502037710 )
GMT+8, 2024-6-23 11:22 , Processed in 0.114198 second(s), 3 queries , Gzip On, Redis On.