hlayumi的个人空间 https://blog.eetop.cn/hslogic [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

基于FPGA的木马程序verilog设计

已有 4035 次阅读| 2022-4-4 18:17 |系统分类:芯片设计

`timescale 1 ns / 1 ps 

module MixColumns_Four_Term_Multiplication (
        p_read,
        p_read1,
        p_read2,
        p_read3,
        ap_return_0,
        ap_return_1,
        ap_return_2,
        ap_return_3
);

parameter    ap_true = 1'b1;
parameter    ap_const_lv8_1 = 8'b1;
parameter    ap_const_lv32_7 = 32'b111;
parameter    ap_const_lv8_1B = 8'b11011;
parameter    ap_const_logic_1 = 1'b1;
parameter    ap_const_logic_0 = 1'b0;

input  [7:0] p_read;
input  [7:0] p_read1;
input  [7:0] p_read2;
input  [7:0] p_read3;
output  [7:0] ap_return_0;
output  [7:0] ap_return_1;
output  [7:0] ap_return_2;
output  [7:0] ap_return_3;

wire   [7:0] result_fu_45_p2;
wire   [0:0] tmp_2_fu_51_p3;
wire   [7:0] result_1_fu_59_p2;
wire   [7:0] result_3_fu_73_p2;
wire   [0:0] tmp_4_fu_79_p3;
wire   [7:0] result_4_fu_87_p2;
wire   [7:0] result_2_fu_65_p3;
wire   [7:0] tmp2_fu_107_p2;
wire   [7:0] result_5_fu_93_p3;
wire   [7:0] tmp1_fu_113_p2;
wire   [7:0] tmp_fu_101_p2;
wire   [7:0] result_6_fu_125_p2;
wire   [0:0] tmp_6_fu_131_p3;
wire   [7:0] result_7_fu_139_p2;
wire   [7:0] result_8_fu_145_p3;
wire   [7:0] tmp5_fu_159_p2;
wire   [7:0] tmp4_fu_165_p2;
wire   [7:0] tmp3_fu_153_p2;
wire   [7:0] result_9_fu_177_p2;
wire   [0:0] tmp_8_fu_183_p3;
wire   [7:0] result_10_fu_191_p2;
wire   [7:0] result_11_fu_197_p3;
wire   [7:0] tmp8_fu_211_p2;
wire   [7:0] tmp7_fu_217_p2;
wire   [7:0] tmp6_fu_205_p2;
wire   [7:0] tmp11_fu_235_p2;
wire   [7:0] tmp10_fu_241_p2;
wire   [7:0] tmp9_fu_229_p2;
wire   [7:0] OUT_fu_119_p2;
wire   [7:0] OUT_1_fu_171_p2;
wire   [7:0] OUT_2_fu_223_p2;
wire   [7:0] OUT_3_fu_247_p2;

assign OUT_1_fu_171_p2 = (tmp4_fu_165_p2 ^ tmp3_fu_153_p2);
assign OUT_2_fu_223_p2 = (tmp7_fu_217_p2 ^ tmp6_fu_205_p2);
assign OUT_3_fu_247_p2 = (tmp10_fu_241_p2 ^ tmp9_fu_229_p2);
assign OUT_fu_119_p2 = (tmp1_fu_113_p2 ^ tmp_fu_101_p2);
assign ap_return_0 = OUT_fu_119_p2;
assign ap_return_1 = OUT_1_fu_171_p2;
assign ap_return_2 = OUT_2_fu_223_p2;
assign ap_return_3 = OUT_3_fu_247_p2;
assign result_10_fu_191_p2 = (result_9_fu_177_p2 ^ ap_const_lv8_1B);
assign result_11_fu_197_p3 = ((tmp_8_fu_183_p3[0:0]==1'b1)? result_10_fu_191_p2: result_9_fu_177_p2);
assign result_1_fu_59_p2 = (result_fu_45_p2 ^ ap_const_lv8_1B);
assign result_2_fu_65_p3 = ((tmp_2_fu_51_p3[0:0]==1'b1)? result_1_fu_59_p2: result_fu_45_p2);
assign result_3_fu_73_p2 = p_read1 << ap_const_lv8_1;
assign result_4_fu_87_p2 = (result_3_fu_73_p2 ^ ap_const_lv8_1B);
assign result_5_fu_93_p3 = ((tmp_4_fu_79_p3[0:0]==1'b1)? result_4_fu_87_p2: result_3_fu_73_p2);
assign result_6_fu_125_p2 = p_read2 << ap_const_lv8_1;
assign result_7_fu_139_p2 = (result_6_fu_125_p2 ^ ap_const_lv8_1B);
assign result_8_fu_145_p3 = ((tmp_6_fu_131_p3[0:0]==1'b1)? result_7_fu_139_p2: result_6_fu_125_p2);
assign result_9_fu_177_p2 = p_read3 << ap_const_lv8_1;
assign result_fu_45_p2 = p_read << ap_const_lv8_1;
assign tmp10_fu_241_p2 = (tmp11_fu_235_p2 ^ p_read2);
assign tmp11_fu_235_p2 = (result_11_fu_197_p3 ^ p_read3);
assign tmp1_fu_113_p2 = (tmp2_fu_107_p2 ^ result_5_fu_93_p3);
assign tmp2_fu_107_p2 = (p_read2 ^ p_read3);
assign tmp3_fu_153_p2 = (result_5_fu_93_p3 ^ p_read);
assign tmp4_fu_165_p2 = (tmp5_fu_159_p2 ^ p_read1);
assign tmp5_fu_159_p2 = (result_8_fu_145_p3 ^ p_read3);
assign tmp6_fu_205_p2 = (p_read1 ^ p_read);
assign tmp7_fu_217_p2 = (tmp8_fu_211_p2 ^ result_8_fu_145_p3);
assign tmp8_fu_211_p2 = (result_11_fu_197_p3 ^ p_read2);
assign tmp9_fu_229_p2 = (result_2_fu_65_p3 ^ p_read1);
assign tmp_2_fu_51_p3 = p_read[ap_const_lv32_7];
assign tmp_4_fu_79_p3 = p_read1[ap_const_lv32_7];
assign tmp_6_fu_131_p3 = p_read2[ap_const_lv32_7];
assign tmp_8_fu_183_p3 = p_read3[ap_const_lv32_7];
assign tmp_fu_101_p2 = (result_2_fu_65_p3 ^ p_read);


endmodule //MixColumns_Four_Term_Multiplication




点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 5

    粉丝
  • 0

    好友
  • 1

    获赞
  • 16

    评论
  • 5260

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 13:38 , Processed in 0.016787 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部