sogaside的个人空间 https://blog.eetop.cn/sogaside [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

ncverilog无法识别$fsdbDumpfile的解决方法

热度 1已有 3414 次阅读| 2020-11-10 16:40 |系统分类:芯片设计

在用ncverilog 的$fsdbDumpfile和$fsdbDumpvars来dump fsdb格式波形时出现not registerd task问题,网上查找资料,发现是没有链接novas的pli导致ncverilog无法识别dump fsdb的task,下面贴上解决方法:

第一步:在.cshrc中设置LD_LIBRARY_PATH环境变量:

#32bit 系统

setenv LD_LIBRARY_PATH="$LD_LIBRARY_PATH:$NOVAS_HOME/share/PLI/lib/LINUX:$NOVAS_HOME/share/PLI/IUS/LINUX/boot"

#64bit 系统

setenv LD_LIBRARY_PATH="$LD_LIBRARY_PATH:$NOVAS_HOME/share/PLI/lib/LINUX64:$NOVAS_HOME/share/PLI/IUS/LINUX64/boot"

第二步:在脚本里加入:+loadpli1 debpli:novas_pli_boot  类似于:

irun -access +rwc -loadpli1 debpli:novas_pli_boot -f XXXXXXX.f

此时就可以识别$fsdbDumpfile和$fsdbDumpvars这两个task了

1

点赞

刚表态过的朋友 (1 人)

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 4

    好友
  • 1

    获赞
  • 0

    评论
  • 463

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-3 05:23 , Processed in 0.025354 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部