qinzongqing的个人空间 https://blog.eetop.cn/930914 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

快速乘法器

已有 615 次阅读| 2013-4-26 15:55

用了1个时钟周期便得到了输出

module mul_pipeling( clk, x, a, y,rst
);


  input         clk;
  input rst;
  input  [2:0]  x, a;
  output [5:0] y;
  reg    [5:0] y;
  
  reg [5:0] sum0;
  reg [5:0] sum1;
  reg [5:0] sum2;
  
  always @(posedge clk or posedge rst)
if(rst) begin
sum0 <= 6'b0;
sum1 <= 6'b0;
sum2 <= 6'b0;
 end
else  begin
sum0 <= a[0]? {3'b000,x} : 6'b0;
sum1 <= a[1]? {2'b00,x,1'b0} : 6'b0;
sum2 <= a[2]? {1'b0, x,2'b00} : 6'b0;
y <= sum0 + sum1 + sum2;
end
  
endmodule


点赞

全部作者的其他最新日志

发表评论 评论 (2 个评论)

回复 cissy33 2013-5-1 12:18
矩形乘法器的原理,如果乘数位数扩大的话,那需要一一列举然后求和么,有么有啥米更好的方法?
回复 qinzongqing 2013-5-5 11:58
这个也是个问题,你有什么好方法不?

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 3

    评论
  • 193

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 00:56 , Processed in 0.023716 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部