在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 验证是什么
mastosh 2018-5-29 12:33
1.熟悉规格,提需求,提测试点 2.熟悉接口,搭建环境 3.约束,发送激励 4.冒烟用例,测试启动 5.回归测试,问题定位,覆盖率分析,验证收敛 验证偏逆向思维,大部分是在解决问题中开展工作。 每一步过程,与设计同步,同时要有一个电路的概念,分层次解决问题。 业务技能以外的拓展技能,包括与上下游协同 ...
943 次阅读|0 个评论
分享 shell命令&perl实例
mastosh 2018-2-24 15:12
1. shell #machine free -tg/ ps /ps -u/top uname/umask/groups/lsload last/ cat ~/machine.host //record of machine use &nb ...
1474 次阅读|0 个评论
分享 vim
mastosh 2018-2-24 14:16
1. 快捷操作---三种模式下快捷方式设定 :map I//esc :imap escI##esc :nmap []esci: ...
864 次阅读|0 个评论
分享 sva
mastosh 2018-2-8 12:38
1,sequence 2,property 3,assert
701 次阅读|0 个评论
分享 sequence&sequencer&driver
mastosh 2018-2-8 12:24
1.sequence机制 uvm_task_phase,start_default_sequence调用seq.start(sqr)调用uvm_do。 start_phase_sequence,sequencer内部函数,启动default.非正常sequencer.start启动.匹配到default sequence,然后执行seq.start,调用body。 进入到熟悉的uvm_do,这就牵涉到item的送 ...
1484 次阅读|0 个评论
分享 uvm_ral&访问接口组件总结
mastosh 2018-2-8 11:32
ral环境ral访问方法 两种方式,多个访问发起带monitor,也可以不带 ral通过reg_map下发sequence到adapter进行trans转换。 driver callback方法,用例调用基于callback类的方法拓展。 首先callback类型提供 ...
1287 次阅读|0 个评论
分享 sv各类数据结构以及一些常用操作
mastosh 2018-2-8 11:24
数据类型-- reg ---4 state bit -- 4state 单bit logic ---- 4 state int ---- 2state 8bit/long int /short int byte ---- 2state 8bit time ---- 4 state ,64bit real----2state ...
1185 次阅读|0 个评论
分享 tlm
mastosh 2018-2-8 10:29
portfifo port是发送,export是接收。imp优先级最低,可以作为终点。 port,expor,imp分阻塞和非阻塞。 put,get方法.transport有发送和接收两大块。 analysis port可以维护一个与之相连的analysis export。可以与多个imp相连。 so ...
513 次阅读|0 个评论
分享 constraint
mastosh 2018-2-4 18:14
1,语法 constraint一般会用到foreach来对数组进行操作或者运算。 一些实际例子就是,要将一些复杂运算拆分。运用好post_randmize。 比如shuffle比unique好很多。 慎用soft. ...
585 次阅读|0 个评论
分享 factory&phase
mastosh 2018-2-3 09:46
类的操作方法 1. 静态/动态,静态 --使用同一存储空间。动态--使用不同存储空间。 变量作用域--变量的生命周期。 2. 类的继承 extends~super引用父类方法。 重载~~一个类中参数不同 ...
619 次阅读|0 个评论
12下一页
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 04:22 , Processed in 0.011193 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部