在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 $readmemb & $readmemh
dennis_zhang 2011-7-25 22:04
$readmemh: read data from a file as hexadecimal, data in the file is seperated by space. example: reg reg_mem ; $readmemh("file_name.vec", reg_mem ); $readmemb: the same as $readmemh, except reading data as binary
个人分类: HDL|1411 次阅读|0 个评论
分享 $diaplsy and $sdisplay
dennis_zhang 2011-7-25 21:56
$display: outputs to standar out, can use formater to print as specify format. example: $display( "%t",$time ); $sdiaplay: print to file example: $fdisplay(vec_file, "%t", $time)
个人分类: HDL|829 次阅读|0 个评论
分享 verilog system task $stop and $finish
dennis_zhang 2011-7-25 21:37
天气 : 热 心情 : 平静 $stop: put the simulation into a halt mode, pass control to user. $finish: causes the simulator to exit and pass control back to the host OS. parameter: 0: prints nothing 1:prints simulation time and location 2:pint simulation time and location, statistics ab ...
个人分类: HDL|2723 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 03:30 , Processed in 0.008201 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部