在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 create_clock和create_generated_clock的约束
alphavor_jay 2012-7-2 22:50
1.create_generated_clock只继承master_clk的latency属性。不继承uncertainty、clock gating什么的。但是它们是属于同一时钟域的同步时钟。除了latency外,你可以认为他们是不同的时钟,没有任何关系。所以generated_clock需要设置latency、uncertainty、gating_check和transition。 2. ...
个人分类: Design Compiler|12922 次阅读|0 个评论
分享 set_max_fanout和set_fanout_load的区别
alphavor_jay 2012-6-22 12:39
set_max_fanout : Sets the max_fanout attribute to a specified value on specified input ports and/ordesigns. set_fanout_load :Sets the fanout_load attribute to a specified value on specified output ports of the current design. 应该这样理解 set_max_fanout 设置在 input 上, ...
个人分类: Design Compiler|6819 次阅读|0 个评论
分享 set_drive/set_drving_cell/ set_input_transition
alphavor_jay 2011-9-18 01:51
set_drive 用于约束不是被库中的单元驱动的输入。而set_driving_cell用于约束被库中的cell驱动的输入。 set_drive 1 set_driving_cell -lib_cell AND 约束输入驱动其实就是定义驱动输入的电阻,转移时间(transition time),即边沿变化的延迟时间是驱动单元的电阻( drive )和被驱动单元的电容( load )的 ...
个人分类: Design Compiler|4924 次阅读|0 个评论
分享 set_max_transition/ set_max_capacitance/ set_max_fanout
alphavor_jay 2011-9-18 01:33
set_max_transition,set_max_capacitance,set_max_fanout 这三个是用于DRC的,是由厂商提供的工艺参数,必须保证满足,否则无法流片。 但是由于厂商库提供的这3个参数一般比较宽松, 但是裕量太小,进行pr后就有 可能报错 通过适当的设置增大裕量,保证pr后也没问题。 所以在我们做综合的时 ...
个人分类: Design Compiler|6604 次阅读|1 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 23:19 , Processed in 0.009662 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部