txd208的个人空间 https://blog.eetop.cn/816385 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 verilog中generate语句的用方法
2014-5-20 07:42
在 Verilog-2001 中新增了语句 generate ,通过 generate 循环,可以产生一个对象(比如一个元件或者是一个模块)的多次例化,为可变尺度的设计提供了方便, generate 语句一般在循环和条件语句中使用,为此, Verilog-2001 增加了四个关键字 generate , endgenerate, genvar, localparam , genvar 是 ...
个人分类: 数字IC设计心得|1271 次阅读|0 个评论
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 0

    获赞
  • 0

    评论
  • 236

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-4 10:48 , Processed in 0.011768 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部