swary的个人空间 https://blog.eetop.cn/807489 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 fifo控制器的限制
2017-6-22 14:07
同步fifo控制器:对深度没有限制。使用的DWfifoctl_s1_sf的控制器。 异步fifo控制器:fifo的深度必须是2的整数次幂,不支持其他深度的。当深度为偶数时,地址会比预期多两个地址;当深度为基数时,地址回避预期的多一个地址。控制器使用的是DWC_pcie_bcm_07 ...
个人分类: dc|865 次阅读|0 个评论
分享 define parameter localparam使用规范
2017-6-20 14:00
【1】全片统筹使用define宏定义。全片使用宏定义必须首先编译,定义一个文件专门存放红一定。后缀一般为xx_define.vh 【2】可配置模块使用parameter。 【3】模块内部使用的参数例如状态机状态或其他使用localparam。 【4】通过规范化使用这些关键字,可以方便代码书写和交流,以及脚本处理。 ...
个人分类: 规范|872 次阅读|0 个评论
分享 【转载】Synopsys工具介绍
2017-6-20 08:19
VCS VCS是编译型Verilog模拟器,它完全支持OVI标准的Verilog HDL语言、PLI和SDF。VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASIC Sign-Off的要求。VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特 ...
个人分类: reference flow|2097 次阅读|0 个评论
分享 【转载】SMIC-Synopsys Reference Flow 5.0
2017-6-20 08:14
SMIC-Synopsys Reference Flow 5.0, a chip-level flat design flow from RTL to verified GDSII, once again delivers a low power design. Reference Flow 5.0 uses SMIC’s advanced 40-nanometer Low Leakage process (40LL) with the Synopsys Galaxy implementation platform, and all the scripts are compatible w ...
个人分类: reference flow|2593 次阅读|0 个评论
分享 memory compiler feature
2017-6-19 14:08
smic 130nm memory compiler delivery:rf_sp,rf_tp.sram_sp(High Speed) rf_sp: mux {2,4} Words: ,Increment=1*mux Bits: ,Increment=1 rf_tp:mux {1,2,4} Word: , Increment=1*mux Bits: ,Increment=1 sram_sp:mux {4,8,16,32} Words: ,Increment=1*mux Bits: ,Increme ...
个人分类: 工艺库|1780 次阅读|0 个评论 热度 1
分享 vcs irun命令对比以及杂项
2017-6-15 17:05
【1】宏定义声明 irun: -define macro_name, +define+macro_name vcs : +define+macro_name 【2】timescale irun: -timescale unit/precious vcs : -timescale=unit/precious override_timescale同上 【3】64位 irun: -64bit vcs : -full64 【4】include收缩 ...
个人分类: 仿真器|9398 次阅读|0 个评论
分享 vcs,irun产生fsdb文件的设置
2017-6-14 17:10
业内主流使用的仿真工具Synopsys vcs和Candence irun。如何使用这两个工具产生fsdb文件呢? 首先需要在顶层添加一个block:内如如下 initial begin $fsdbDumpfile("dut.fsdb"); $fsdbDumpvars(level,hirarchy, ); end 本人使用的x86-64系统 【1】vcs 1)在cshrc文件设置NOVAS_HOME环境变量,指向verdi的 ...
个人分类: 仿真器|8905 次阅读|6 个评论 热度 3
分享 dc lib转db脚本
2017-6-13 08:43
有时候使用MC时只能产生lib,需要使用dc_shell转换成db格式再使用。下面给出经过工程验证使用。 #================================== #lib2db.tcl set lib_list ] foreach curr_lib $lib_list { set lib_name_tmp set lib_name_size _ ] read_lib $curr_lib write_lib -f db $lib_name_si ...
个人分类: dc|3940 次阅读|4 个评论 热度 1
分享 IC设计之PAD随记
2017-6-12 10:31
在做项目前确定工艺规格,然后选择一套库包括logic library、IO library、和PLL library。 本文记录.13工艺pad各类信息。SP013IO3D:S代表smic,p代表pad,013代表130um,3D代表三层金属层。 core电压支持1.2,2.5,3.3v,pad电压:3.3v。 pad分为三类:standard io pad,crystal IO pad,special pad。 standard io pad ...
5766 次阅读|0 个评论 热度 3
分享 工艺库详解
2017-6-9 17:04
scc55nll_hd_pmk_hvt_ss_v1p08_125c_basic.db sc:standard cell 55n:工艺级别,单位nm ll:low leakage hd:high density高稳定。该参数还可能是hs(high speed高速),vhs(very high speed超高速) pmk:???? &nbs ...
4603 次阅读|0 个评论 热度 4
  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 6

    粉丝
  • 0

    好友
  • 11

    获赞
  • 16

    评论
  • 3125

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-8 23:50 , Processed in 0.014635 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部