在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 三大仿真工具
swary 2017-7-6 13:18
在过去的三年里,使用了很多不同环境下的不同仿真工具。现一一罗列,比较记忆。主流的仿真工具是EDA三巨头发布的分别为Cadencen的irun,Synopsys的vcs和Mentor Graphic的modelsim或questasim。 【1】irun替代了原来的ncverilog,而且支持多种语言编译,做大大统一。默认情况下,irun语法错误的容忍度太高,好多语法问题不能 ...
个人分类: 仿真器|2008 次阅读|0 个评论
分享 vcs irun命令对比以及杂项
swary 2017-6-15 17:05
【1】宏定义声明 irun: -define macro_name, +define+macro_name vcs : +define+macro_name 【2】timescale irun: -timescale unit/precious vcs : -timescale=unit/precious override_timescale同上 【3】64位 irun: -64bit vcs : -full64 【4】include收缩 ...
个人分类: 仿真器|9367 次阅读|0 个评论
分享 vcs,irun产生fsdb文件的设置
swary 2017-6-14 17:10
业内主流使用的仿真工具Synopsys vcs和Candence irun。如何使用这两个工具产生fsdb文件呢? 首先需要在顶层添加一个block:内如如下 initial begin $fsdbDumpfile("dut.fsdb"); $fsdbDumpvars(level,hirarchy, ); end 本人使用的x86-64系统 【1】vcs 1)在cshrc文件设置NOVAS_HOME环境变量,指向verdi的 ...
个人分类: 仿真器|8878 次阅读|6 个评论 热度 3
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 19:10 , Processed in 0.009583 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部