索手锋芒的个人空间 https://blog.eetop.cn/calvin [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

vcs dump fsdb

已有 1861 次阅读| 2015-8-5 21:36 |个人分类:eda tool

关于破解:
现在verdi已经是synopsys的产品了,所以verdi和vcs的license可以破解在一个license文件里面了。
之前在破解的时候verdi直接解压后设定环境变量就用了;没有额外的操作。

至于在.v文件中使用$fsdbDumpfile来dump fsdb以供verdi读取,也不用像以前需要在环境变量里面指定相关变量;
直接在compile option里面加入-fsdb就可以了

点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 1

    粉丝
  • 0

    好友
  • 4

    获赞
  • 4

    评论
  • 3176

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-10 15:37 , Processed in 0.026059 second(s), 14 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部