在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 来自SV的端午节问候(用波形显示文字)
guanzixin 2013-6-5 22:29
祝大家端午节快乐! dragon_boat.PNG
个人分类: modelsim|852 次阅读|0 个评论
分享 questa最基本命令
guanzixin 2013-4-27 22:32
====================== file sim.do content ------------------------ vlib work vlog top.sv vsim top run 100us ====================== file run_sim.tcl content ------------------------ vsim -c -do sim.do
个人分类: modelsim|976 次阅读|0 个评论
分享 如何使用modelsim产生fsdb文件(verilog)
guanzixin 2013-3-17 18:57
本文是在博文: http://blog.sina.com.cn/s/blog_40270a810100tii3.html 的基础上实践总结出来的。 本文讲述 windows 环境下如何使用 modelsim 产生 fsdb 文件。 实验环境: 操作系统( WinXP ) Modesim 版本及安装路径( C:\modeltech_6.5g\ ) Debussy 版 ...
个人分类: modelsim|1918 次阅读|0 个评论
分享 【外链】Linux七天系列
guanzixin 2013-3-13 18:49
http://blog.csdn.net/m13666368773/article/details/7615103
个人分类: Linux|802 次阅读|0 个评论
分享 [转载]UltraEdit各种wordfile下载
guanzixin 2013-3-12 21:47
转自 http://blog.csdn.net/yujun00/article/details/764631 UltraEdit的官方网站上有各种语言的wordfile文件下载 http://www.ultraedit.com/index.php?name=Contentpa=showpagepid=40#wordfiles 直接将下载的文件内容加到wordfile.txt中既可。
个人分类: UE|10413 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-27 18:55 , Processed in 0.009228 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部