njithjw的个人空间 https://blog.eetop.cn/505866 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

复数乘法器

已有 2784 次阅读| 2011-12-21 22:47 |个人分类:FPGA_Verilog

复乘
 (a+bi)*(c+di)
=(ac-bd)+(bc+ad)i

直接进行运算需要4个乘法器

可以通过下面的方法进行优化,减少1个乘法器
 ac-bd
=ac-da+da-bd
=a(c-d)+d(a-b)

 bc+ad
=bc-ca+ca+ad
=c(a+b)-a(c-d)

可以看出,只需要3个乘法器即可完成两个复数的乘法


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 17

    评论
  • 3512

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 07:29 , Processed in 0.013318 second(s), 7 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部