njithjw的个人空间 https://blog.eetop.cn/505866 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

边沿检测

已有 649 次阅读| 2011-12-21 22:35 |个人分类:FPGA_Verilog

`timescale 1ns/1ns
module edge_det#
    (
    parameter EDGE_DETECT="POSEDGE"
    )
    (
    input   clk,
    input   rst,
    input   signal_in,

    output  signal_edge
    );

generate
    if (EDGE_DETECT == "POSEDGE")
    begin: POSE_EDGE
        reg     signal_in_dly1;
        reg     signal_in_dly2;
        reg     signal_in_dly3;
        reg     signal_rise;

        always @ (posedge clk or posedge rst)
        begin
            if (rst == 1'b1)
            begin
                signal_in_dly1 <= 1'b0;
                signal_in_dly2 <= 1'b0;
                signal_in_dly3 <= 1'b0;
            end
            else
            begin
                signal_in_dly1 <= signal_in;
                signal_in_dly2 <= signal_in_dly1;
                signal_in_dly3 <= signal_in_dly2;
            end
        end

        always @ (posedge clk or posedge rst)
        begin
            if (rst == 1'b1)
                signal_rise <= 1'b0;
            else
                signal_rise <= ~signal_in_dly3 && signal_in_dly2;
        end

        assign signal_edge = signal_rise;

    end
    else if (EDGE_DETECT == "NEGEDGE")
    begin: NEGE_EDGE
        reg     signal_in_dly1;
        reg     signal_in_dly2;
        reg     signal_in_dly3;
        reg     signal_fall;

        always @ (posedge clk or posedge rst)
        begin
            if (rst == 1'b1)
            begin
                signal_in_dly1 <= 1'b0;
                signal_in_dly2 <= 1'b0;
                signal_in_dly3 <= 1'b0;
            end
            else
            begin
                signal_in_dly1 <= signal_in;
                signal_in_dly2 <= signal_in_dly1;
                signal_in_dly3 <= signal_in_dly2;
            end
        end

        always @ (posedge clk or negedge rst)
        begin
            if (rst == 1'b0)
                signal_fall <= 1'b0;
            else
                signal_fall <= signal_in_dly3 && (~signal_in_dly2);
        end

        assign signal_edge = signal_fall;
    end
    else if (EDGE_DETECT == "DUALDGE")
    begin: DUAL_EDGE
        reg     signal_in_dly1;
        reg     signal_in_dly2;
        reg     signal_in_dly3;
        reg     signal_rise_fall;

        always @ (posedge clk or posedge rst)
        begin
            if (rst == 1'b1)
            begin
                signal_in_dly1 <= 1'b0;
                signal_in_dly2 <= 1'b0;
                signal_in_dly3 <= 1'b0;
            end
            else
            begin
                signal_in_dly1 <= signal_in;
                signal_in_dly2 <= signal_in_dly1;
                signal_in_dly3 <= signal_in_dly2;
            end
        end

        always @ (posedge clk or posedge rst)
        begin
            if (rst == 1'b1)
                signal_rise_fall <= 1'b0;
            else
                signal_rise_fall <= signal_in_dly3 ^ signal_in_dly2;
        end

        assign signal_edge = signal_rise_fall;
    end

endgenerate

endmodule


点赞

发表评论 评论 (2 个评论)

回复 mafan88 2011-12-26 18:03
很经典的电路,但边沿检测,双边沿检测

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 2

    粉丝
  • 0

    好友
  • 0

    获赞
  • 17

    评论
  • 3512

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 07:08 , Processed in 0.014891 second(s), 8 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部