在线咨询
eetop公众号 创芯大讲堂 创芯人才网
切换到宽版

EETOP 创芯网论坛 (原名:电子顶级开发网)

手机号码,快捷登录

手机号码,快捷登录

找回密码

  登录   注册  

快捷导航
搜帖子
分享 rmv_dc
njithjw 2012-3-16 00:09
对于固定位置的干扰(比如带内的单音干扰),可以采用当前的值减去统计出来的平均值。 clear close all a=randn(10^4,1024); a_rmv_dc=zeros(10^4,1024); for cntx=1:10^4 for cnty=1:1024 if(mod(cnty,128) == 0) &n ...
个人分类: matlab|635 次阅读|0 个评论
分享 噪声
njithjw 2012-3-15 23:44
热噪声由于其随机和不相关的特性,在时域中累加无法将其加强;脉冲信号由于相关性,即使低于热噪声,也可以通过长时间的累加,将其加强; clear close all a=randn(10^4,1024); for cntx=1:10^4 for cnty=1:1024 if(mod(cnty,128) == 0) ...
个人分类: matlab|647 次阅读|0 个评论
分享 插值与抽取
njithjw 2012-3-14 23:10
%抽取: a=1:10; b=zeros(1,5); b=a(1:2:length(a)) %插值 c=zeros(1,20); c(1:2:length(c))=a
个人分类: matlab|602 次阅读|0 个评论
分享 单音信号及其频谱
njithjw 2012-3-12 23:22
clear close all f=100; fs=1000; Ts=1/fs; time=5.0; % freq, sampling interval, time t=Ts:Ts:time;&nb ...
个人分类: matlab|5519 次阅读|0 个评论
分享 计算两个同频单音信号的相位差
njithjw 2012-3-10 09:26
clear close all f=100; theta0=pi/6; theta1=pi/4; t=0:0.001:1; y0=exp(j*(f*t+theta0)); y1=exp(j*(f*t+theta1)); plot(t,y0,'r') hold on plot(t,y1,'b') y1_1=conj(y1); yy=y0.*y1_1; y_s=mean(yy); phase_delta=angle(y_s)*180/pi
个人分类: matlab|2294 次阅读|1 个评论
分享 十六进制与十进制转换
njithjw 2012-3-3 14:59
data_hex=char('0','1','2','3',... '4','5','6','7',... '8','9','a','b',... & ...
个人分类: matlab|614 次阅读|0 个评论
分享 二进制补码与十进制转换
njithjw 2012-3-3 14:58
data_bin=char('0000','0001','0010','0011',... '0100','0101','0110','0111',... '1000','1001','1010','1011',... & ...
个人分类: matlab|3272 次阅读|0 个评论
分享 数字量化1bit等于6dB
njithjw 2012-2-26 21:32
db_1bit=10*log10((2^(n+1)/(2^n))^2) =20*log10(2) =6.0206
个人分类: matlab|1936 次阅读|0 个评论
分享 在matlab中将数据限制在某一范围之内
njithjw 2012-2-25 22:52
%将一组数据设置在某个范围之内 close a=round(100*randn(2048,1)); b=max(min(a,127),-128); plot(a) hold on plot(b,'r') hold on plot( ,127,'black','linewidth',5) hold on plot( ,-128,'black','linewidth',5)
个人分类: matlab|7455 次阅读|0 个评论
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-4-28 02:28 , Processed in 0.009682 second(s), 3 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部