warmheard的个人空间 https://blog.eetop.cn/449058 [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

windows 下如何用modelsim dump fsdb波形文件

已有 4459 次阅读| 2012-1-27 16:22

首先需要安装modelsim和debussy两个软件

其次建立下面的mds.bat文件

/////////////////////// mds.bat ////////////////////////////////////////
vlib work
vlog -vlog01compat -work work -f rtl.f
vsim -c -hazards work.test -pli D:/Novas/Debussy/share/PLI/modelsim_pli/WINNT/novas.dll -do "run -all; exit"
////////////////////////mds.bat /////////////////////////////////////////

注意:上面D:/Novas/Debussy/就是debussy所安装的目录
             rtl.f是仿真文件里表

然后在testbench里面添加下面几句话来dump波形

initial begin
    $fsdbDumpfile("rtl.fsdb");
    $fsdbDumpvars(0, test);
end

最后运行mds.bat完成仿真。

 


点赞

评论 (0 个评论)

facelist

您需要登录后才可以评论 登录 | 注册

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 3

    粉丝
  • 0

    好友
  • 0

    获赞
  • 9

    评论
  • 648

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-5 04:26 , Processed in 0.023178 second(s), 13 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部