霡霂的个人空间 https://blog.eetop.cn/maimu [收藏] [复制] [分享] [RSS]

空间首页 动态 记录 日志 相册 主题 分享 留言板 个人资料

日志

分享 自定义指令
2006-8-15 20:34
天气 : 晴朗 心情 : 高兴 获取数据的上升沿(含)到产生结果的上升沿(含)为自定义指令的周期
个人分类: 备份|692 次阅读|0 个评论
分享 5分频
2006-8-15 11:05
天气 : 晴朗 心情 : 高兴 5分频,奇数分频都可以类似这么做,只需要改div1和div2的参数。div1为奇数分频除2的余数。采用上升延和下降延分别触发不同波形,最后叠加的方式产生奇数分频。 module divfreq(clk, clk1x, rst, clk1xpose, clk1xnege, coutpose, coutnege); input clk; input rst; output clk1x; outpu ...
个人分类: 备份|1366 次阅读|0 个评论
分享 clock divider
2006-8-15 01:05
天气 : 晴朗 心情 : 高兴 module clk_div ( input iclk, output reg oclk ); parameter clk_reg_length=5; `define length clk_reg_length reg clk_reg; always@(posedge iclk) begin clk_reg=clk_reg + `length-1'b1; oclk=clk_reg ; en ...
个人分类: 备份|1105 次阅读|0 个评论
分享 Generator of synthesizable CRC functions
2006-8-14 23:50
天气 : 晴朗 心情 : 高兴 Generator of synthesizable CRC functions Polynomial: Polynomial editor: 1 x 1 x 2 x 3 x 4 x 5 x 6 x 7 x 8 x 9 x 10 x 11 x 12 x 13 ...
个人分类: 备份|818 次阅读|0 个评论
分享 clock divider
2006-8-14 23:19
天气 : 晴朗 心情 : 高兴 module clk_div ( input iclk, output reg oclk ); parameter clk_reg_length=5; `define length clk_reg_length reg clk_reg; always@(posedge iclk) begin clk_reg=clk_reg + `length-1'b1; oclk=clk_reg ; en ...
个人分类: 备份|1906 次阅读|0 个评论
分享 第一阶段过去了
2006-7-12 00:23
天气 : 晴朗 心情 : 高兴 这几天,才体会到早出晚归的感觉.将uclinux操作系统移植到了DE2板子上,今天又终于把VGA控制起来了,终于有种有了盼头的感觉,走出了迷茫的第一阶段,定了题,也迈出了最最艰难的第一步,以后也许会更苦,但是今天终于体会到了痛苦过后的快乐.当显示器终于显示出了预期的图形之后,那种释然,那种欣喜, ...
个人分类: 备份|869 次阅读|1 个评论
分享 网络上的USB连接
2006-6-28 15:59
天气 : 晴朗 心情 : 高兴 USB是一种成功的点对点连接接口,但通过IP实现USB则是项挑战性的工作。 要 点   ●设计师成功地将 USB(通用串行总线)用于连接其它通信技术;   ●在任何项目中,不明确的含义都是造成错误和损失时间的根源;   ●一些 USBOIP(互联网协议上工作的 USB)实现可以使多台主控计算机串行 ...
个人分类: 备份|1095 次阅读|0 个评论

本页有 3 篇日志因作者的隐私设置或未通过审核而隐藏

  • 关注TA
  • 加好友
  • 联系TA
  • 0

    周排名
  • 0

    月排名
  • 0

    总排名
  • 0

    关注
  • 3

    粉丝
  • 1

    好友
  • 6

    获赞
  • 45

    评论
  • 3123

    访问数
关闭

站长推荐 上一条 /1 下一条

小黑屋| 关于我们| 联系我们| 在线咨询| 隐私声明| EETOP 创芯网
( 京ICP备:10050787号 京公网安备:11010502037710 )

GMT+8, 2024-5-11 00:53 , Processed in 0.015156 second(s), 4 queries , Gzip On, Redis On.

eetop公众号 创芯大讲堂 创芯人才网
返回顶部